首页 > 文章中心 > 电路设计与开发

电路设计与开发

开篇:润墨网以专业的文秘视角,为您筛选了八篇电路设计与开发范文,如需获取更多写作素材,在线客服老师一对一协助。欢迎您的阅读与分享!

电路设计与开发范文第1篇

>> 电学实验中的电路设计 无线数传电台在水情遥测中的应用 一种用于软件无线电的接收机射频前端电路设计与实现 基于无线数传电台的RFID手持机设计 数字电视多频率射频信号源系统射频电路设计 基于ARM的无线头盔系统电路设计 高中电学实验中电路设计的分析与探讨 射频设计软件ADS支持下的CMoS放大器电路设计 《PROTEL电路设计》实验教学改革初探 “自动控制实验电路设计”的教学探讨 兴趣导向的数字电路设计实验改革 “射频集成电路设计”课程教学改革初探 “射频集成电路设计”课程教学改革的新思路 射频功率放大器与微带电路设计 GNSS接收机的射频电路设计与应用 应用于相控阵收发组件的射频微波集成电路设计探讨 考虑无源器件寄生参数的接收机射频前端电路设计 基于对数检测法的射频功率测量电路设计 基于MSP430单片机的实用射频卡读卡电路设计 一种有源RFID标签射频前端收发电路设计 常见问题解答 当前所在位置:l.

[5]孙肖子,李要伟.现代电子线路和技术实验简明教程[M].北京:高等教育出版社,2009.

[6]曾兴雯,刘乃安.高频电子线路[M].北京:高等教育出版社,2004.

[7]张企民,高如云.通信电子线路[M].西安:西安电子科技大学出版社,2002.

电路设计与开发范文第2篇

顾玲芙 江苏省无锡汽车工程中等专业学校 214153

【文章摘要】

计算机技术的迅速发展,为软件

的开发与应用创造了广阔的发展空

间。在电子线路设计领域中,软件应

用作为其重要组成部分,能够为电路

设计提供更为高效的参数优选方案,

其在当下社会应用和教学中所占比

重也日渐增加。在电路研究与设计过

程中,已有越来越多的设计者利用电

脑仿真技术对电路设计进行分析与

调试,计算机软件的应用逐渐成为当

下电子电路设计中的重要工具。本文

从电子电路设计过程中的设计质量,

设计周期及成本计算角度出发,对电

子电路设计教学中的软件应用进行

分析,并通过探讨不同类软件在电子

电路设计教学中的应用特点,为电子

电路教学提供有效的教学依据。

【关键词】

软件应用;电子电路设计;设计教学

1 电子电路设计教学发展概况

随着计算机技术的飞速进步,软件的

开发与利用日渐成为当下社会各领域发

展的重要构成部分,在现阶段的电子电路

设计中,各类软件的应用在为电路设计提

供极大便利的同时,也为电子线路连接及

功能的验证提供了有效途径。各类软件在

日渐普及和广泛应用中得以不断完善,这

也极大的促进了计算机软件在电子电路

设计中的应用。在电子电路的实际设计应

用中,由于电子电路结构设计和线路搭建

涉及到了多个电子领域,如电路图绘制,

电路设计,元件设计及结构调动等,都需

要不同类型的软件进行应用设计。在当下

我国电子电路的设计与教学中,理论课程

与课程实验的有机结合为学生的电路学

习设计提供了良好的发展空间。计算机软

件的应用教学,使得学生在掌握了软件应

用的基础上,能够以软件工具开展电子电

阻的设计,搭建和线路调试。在计算机软

件的电子电路教学设计与应用中,模拟电

子技术实验教学是一个极具代表性的实

例,学生在模拟电子电路设计的课程中,

能够在课程内容中学习到电路技术和计

算机技术的基础知识,并通过课堂练习和

课程实践不断加深对计算机软件应用和

电子电路设计的认知,在课程教学后期的

课程实践中,教师通过带领学生开展电路

设计实践,以进一步促进学生软件知识和

电子电路知识的有机融合。电子电路教学

的开展,为计算机软件和电路设计应用的

结合提供了发展前提,这也为我国电子电

路技术的发展奠定了基础。

各类软件在电子电路

设计教学中的运用

顾玲芙 江苏省无锡汽车工程中等专业学校 214153

2 电子电路设计教学中软件应用意

义探讨

在电子电路的实际设计与开发中,

电路结构的软件设计仿真测试已成为当

下最具有效性的技术,加之越来越多的电

子电路设计者选择运用计算机软件对电

子电路设计进行研究,这就使计算机软件

应用在电子电路的设计中具有十分重要

的意义。计算机软件提供的软件仿真功能

为电子电路的方案设计提供了有力的参

考,学生能够利用软件进行对预先设计好

的电路方案进行仿真,并通过对比方案设

计与当真结果对具体内容进行改进,这在

帮助学生完善仿真方案的同时,也进一步

巩固了其对知识的掌握,提升了电路设计

中发现问题和处理问题的能力。与传统形

式的电路测量检验方式不同,计算机软件

的应用仅需要将电路接口连接到实验箱,

通过程序调试模拟实际应用环境,以更为

高效率的检测出电路系统的设计错误。软

件应用在为电子电路设计提供仿真环境

的同时,也能够在学生的电子理论学习中

起到极大的辅助作用。在电子电路教学开

展过程中,课程理论和实验设计的有机结

合能够进一步加深学生对电路知识的理

性认知,而在电路的设计和应用检测过程

中,由于校园客观环境的限制,电路的检

验与应用通常无法得到充分开展,而利用

计算机软件设计则能够有效实现对电路

设计的检验和校正,使得学生能够在真正

意义上掌握电子电路设计课程中的研究

方法。

3 各类软件在电子电路教学中的具

体运用

3.1 CAD 软件在电子电路教学中的

应用

CAD 软件系统是当下电路设计软件

中图形设计功能作为全面的应用软件,其

在电子电路设计教学中的应用也十分广

泛。在电路设计教学的开展中,CAD 软

件为课程开展提供了绘图,几何造型以及

特征计算等功能,在进行电路设计过程

中,教师能够通过带领学生进行元件设

计,是学生进一步掌握不同电路元件的功

能,并以此为基础,使学生利用不同元件

的特性进行电路的功能设计。CAD 软件

在为电路教学设置元件设计功能的同时,

也自带有元件库,电路的实际设计可以直

接对元件进行调用,这也能够有效节约电

路原理图设计时间。在利用该软件开展教

学时,教师还要强调实际元件和虚拟元件

的区别,并通过在教学过程中着重强调,

以保证学生实际电路连接的准确性和安

全性。

3.2 EWB 软件在教学中的具体应用

分析

EWB 计算机软件是一种用于电路设

计与仿真的EDA 工具软件,与CAD 软件

不同,EWB 软件中包含更多的高品质模

拟电路元件和组件模型。教师在开展电子

电路设计教学时能够在元件调用的基础

上,引导学生利用软件进行多种功能仿

真,如对以连接的电路结构进行交流频

率特性分析,静态分析和参数扫描分析

等。EWB 软件主要结构包括函数信号发

生器和仿真电路模板等,学生能够在课程

设计中通过元件调用和参数整合,完成电

路设计,并通过将电路系统调用与仿真模

板中,对其进行功能测试。在电路仿真教

学过程中,教师应首先开展信号发生器教

学,使得学生能够依据实际电路结构设计

选定对应的激励信号,以此保证电子电路

结构仿真结构的准确性和有效性。

3.3 PSPICE 仿真软件在电路设计教

学中的应用

作为现阶段不同类型电路分析与设

计仿真软件之一,PSPICE 软件具有十分

优越的实用性能。该软件主要包括电子线

路仿真,图形方式输出,模拟计算电路功

能和网表生成等功能,不仅能够对模拟电

子线路进行仿真与模式实验,也能够与实

体电路结构进行连接并开展模拟仿真。在

电子电路的设计教学中,教师要将课程演

示重点放在利用 PSPICE 软件模拟连接

电路上,使学生能够在掌握元件参数的基

础上,更为全面的掌握电路波形和电压电

流值的检测方法。PSPICE 仿真软件的应

用,也为电路设计教学中元件参数的优化

提供了科学有效的途经,教师通过对比软

件中不同模拟元件的功能,以选择灵敏度

高和容差关系稳定的软件开展教学,这能

够极大的优化电路设计中的元件参数,并

使得电子电路设计的教学质量得到有效

提升。

4 结束语

不同类型计算机软件在电子电路教

学中的应用,为电路设计与功能检测提供

了有效的教学方式。教师在备课过程中通

过对比不同电路软件的应用特点,并选取

更具教学价值的应用软件开展电子电路

教学,能够更为全面的对电路参数进行讲

解,进而培养学生电路工程设计的眼光,

为学生今后的就业与发展打下坚实的基

础。

【参考文献】

[1] 周长森. 电子电路计算机仿真技

术的实际应用探究[J]. 山东科学

技术出版社.2011(6):6-14.

[2] 胡晓云,邓肖月.EDA 技术在电

子电路教学中的应用. 实验与探

索.2012

[3] 胡卫平.CAISD 软件包的研制及其

在逆变器优化设计中的应用. 北

京电子教育出版社.2009,9-15

[4] 周淑芳. 柔性电路板在电子电路

电路设计与开发范文第3篇

关键词:数字逻辑;VerilogHDL;FPGA;EDA;教学改革

中图分类号:TP302 文献标识码:A 文章编号:1009-3044(2016)35-0177-02

The teaching reform and practice of digital logic taking verilogHDL as the key points

ZHAO Tian-xiang, HE Jin-zhi

(Nanyang Institute of Technology, Nanyang 473000, China)

Abstract:Aiming at the backward methods and old content in the traditional digital logic course, the reform and practice of the digital logic teaching with VerilogHDL and FPGA as the key points are carried out, and the new teaching mode of the experiment drive is discussed. Not only make the students come into contact with the latest digital circuit design method, but also make it have a wide range of application prospects of the new skills. The students in the completion of this course, can really do the design, application.

Key words: Digital logic; VerilogHDL; FPGA; EDA; teaching reform

承担《数字逻辑》这门课两个学期了,我发现传统的教学内容已经不适合现在社会的发展,并对此进行了教学内容的改革。在传统的教学内容中,逻辑表达式、真值表和波形图等这些老旧的数字电路设计方法,是教学的重点。而CPLD、FPGA和VHDL、VerilogHDL等现代化的数字电路设计方法仅仅是一个简单的介绍。这样的数字逻辑课程学完后,学生仅仅是对数字电路的设计有所了解,至于设计是无从谈起的,现在用逻辑表达式、真值表和波形图等去设计一个数字电路简直是可笑的。于是,我决定把基于VerilogHDL和FPGA的现代化的设计方法作为教学的重点,这样使学生学完这门课程后,真正能做设计,做应用。

1 VerilogHDL简单易学

VerilogHDL是一种硬件描述语言,使用这种语言只需要把我们想设计的数字电路的功能或结构描述出来,然后由计算机辅助电路设计软件综合出实际可用的数字电路出来。完全不用像传统的设计方法那样,用逻辑表达式或真值表那样一个逻辑门一个逻辑门的去设计。传统的设计方法,费时费力,在门数比较少的时候还可以。但在现在动辄数亿门的集成电路设计中几乎是不可能的。使用计算机辅助电路设计软件进行数字电路设计是时展的必然。

使用计算机辅助电路设计件进行数字电路设计就必须要用硬件描述语言,现在主流的硬件描述语言主要有两种,一种是VHDL,一种是VerilogHDL。VerilogHDL的风格非常接近计算机软件语言中的C语言,因此VerilogHDL比前者更容易被计算机专业的学生接受和理解。VerilogHDL和VHDL都是IEEE标准,功能和性能上没有太大的差异,在国内使用Verilog HDL的用户也比较多,因此我决定以VerilogHDL作为这门课的编程语言。VerilogHDL在语法,数据类型,控制语句等方面和C语言都有较多的相似性,学过C语言的计算机专业的学生很容易就可以理解和掌握这门语言。

VerilogHDL需要在计算机辅助电路设计软件中使用,现在这种软件已经非常成熟。我们使用的是Altera公司开发的Quartus II软件,Altera公司是世界第二大FPGA厂商,其产品在国内有广泛应用。FPGA是现场可编程逻辑阵列,可以通过编程变成我们想要的任意电路。我们用VerilogHDL做出设计,由Quartus II综合出电路,如图1所示。然后下载到FPGA,由FPGA实现验证,最后由半导体企业生产出来使用。也可以直接使用FPGA,使用FPGA和使用专用芯片几乎没什么差别。

图1 Quartus II综合VerilogHDL设计生成的电路原理图

2 实验驱动的教学模式

我在教学中采用实验驱动的教学模式,即通过大量可验证的实验,逐步把知识传授给学生。在传统的教学模式中,教师往往从基本的语法,原理讲起,等语法,原理讲完了,再讲复杂的应用。结果,前期讲理论时,学生觉得非常枯燥,后期讲应用时,前面的理论又忘得差不多了,教学效果不理想。实验驱动的教学模式即把理论教学和应用教学结合起来,穿插起来。前期不纯讲理论,而是以简单的实验,把理论融入实验,一一验证。每一个实验都是一个较为完整的应用,都可以通过软硬件验证,这样可以引发学生的学习兴趣。由简单到复杂,每一次实验都会有一些收获,跨度也不大,逐步深入,而且每一次实验都印证了学科的实用性,增强学生学习的信心和动力。

这些实验可以通过软件或硬件来验证,在Altera公司的Quartus II软件中自带了一个由Mentor Graphics公司为Altera定制的ModelSim-Altera软件。ModelSim-Altera是一个仿真分析软件。VerilogHDL包括分析测试的功能,我们写出的实验例程可以包含一个测试模块。在测试模块中,我们可以通过对输入端口赋值,设置时间点,对实验进行充分的测试验证。在ModelSim-Altera仿真过程中,可以输出虚拟示波器波形,对这些波形进行分析可以查找错误,验证功能。ModelSim-Altera还提供了丰富的系统任务和系统函数帮助我们分析电路功能,可以按时间点输出监控的参数数值。

ModelSim-Altera虽然是一个简单实用的好工具,但仿真还是有一些局限性的。这时候,一块FPGA的开发板就非常重要了。我们写的VerilogHDL设计,下载到FPGA开发板中,以硬件的方式进行验证,亲眼看到,非常有说服力。使用FPGA开发板还可以进行非常实用的应用开发,比如红外遥控、视频编码、VGA输出等。

3 FPGA应用前景广泛

使用VerilogHDL和FPGA不仅使数字电路设计简单方便,而且对于小规模的应用,我们根本就不需要把电路生产出来,直接把设计写入FPGA,直接用FPGA就行了。FPGA相对于单片机等传统控制器,有很多优点。例如:FPGA可以生成任何电路,大大简化了控制器周边的复杂度,一些译码器、编码器等芯片不需要了,降低了成本,提高了可靠度。FPGA纯硬件运行,没有CPU执行延时,响应速度非常快。在一些实时性要求非常高的场合有着传统控制器无法比拟的优势。比如:实时的视频采集,高速运动装置的控制等。

4 结束语

以VerilogHDL和FPGA为重点的数字逻辑课程教学改革,经过我这两个学期的实践,使原本边缘化的一门传统课程,焕发出新的活力。不但使学生接触到最新的数字电路设计方法,而且使学生掌握了一门有广泛应用前景的新技能,为其以后的发展又增添了一条新的选择。今后我将继续在实用化,现代化的教学实践中探索前进。

⒖嘉南祝

[1] 马朝,李颖,杨明.用Verilog-HDL设计数字逻辑系统[J].计算机工程,2015,26(12):110-112.

[2] 何清平,刘佐濂,江建钧.Verilog语言综合问题研究[J].广州大学学报,2006,5(5):58-61.

[3] 徐莹隽. 基于开放教学模式的数字逻辑电路实验教学改革[J].电气电子教学学报,2006,28(6):64-66.

[4] 艾明晶. 基于自动设计方法的数字逻辑课程改革研究与实践[J].实验技术与管理,2012,29(9):151-154.

[5] 唐志强. 计算机专业数字逻辑实验的改革与创新[J].实验室研究与探索,2013,32(10):182-183.

[6] 罗杰,康华光. 两种硬件描述语言VHDL/Verilog的发展及其应用[J].电气电子教学学报,2002,24(4):1-5.

电路设计与开发范文第4篇

[关键词]数字电子电路;EDA技术;应用;探究

在微电子技术飞速发展的背景下,数字电子电路的设计的难度也在不断加大,电子产品翻新的速度也在不断加快,这给数字电子电路设计带来了较大的压力。EDA技术是数字电子电路的设计中较为先进的技术,具有其他技术不具备的优势,使数字电子电路的设计得到了革命性的发展[1]。EDA技术的优势在于当程序修改错误时,不需要使用额外的硬件电路,且在使用EDA技术进行电子产品设计时能够使电子产品的成本降低和设计周期缩短。因而,EDA技术在数字电子电路设计中得到了越来越广泛的运用,也推动了数字电子电路的设计领域的变革,促进电子产品的发展。对此,我们需要EDA技术在数字电子电路的设计中应用有所了解。

1EDA技术概述

EDA(ElectronicDesignAutomation,电子设计自动化)技术是逐渐从计算机辅助测试、计算机辅助制造、计算机辅助设计以及计算机辅助工程中发展而来的[2]。该技术主要是将计算机作为载体,在EDA软件平台上,设计者主要采用硬件描述语言VHDL进行设计,进而由计算机自动完成各项工作。EDA技术是一种融合了当前多种新型技术的新技术,它以计算机为载体,将计算机技术、信息技术、电子技术以及智能技术相互融合起来,进而完成电子产品的自动化设计工作,这样有效促进了电路设计的可操作性以及效率性,不仅保障了电路设计的质量和效率,同时也极大地减轻了设计者的工作强度,同时也降低了电子产品的生产成本。具体来说,EDA技术的特点以及EDA技术设计流程如下。

1.1EDA技术的特点

相比于传统的CAD(ComputerAidedDesign,计算机辅助设计)技术而言,EDA技术具有显著的特点。首先一点,EDA技术在硬件电路选择软件设计方式方面上,它可以选择多种设计输入,如VHDL语言、波形等等,它在完成下载配置前能够在没有硬件设备的情况下能够自行完成。与此同时,它在修改硬件设备也是非常简单、易于操作,这种修改硬件设备的方式和软件程序修改方式非常接近,采用软件测试的方法对其进行测试,这样就能科学有效地设计特定功能的硬件电路[3]。第二点,EDA技术能够仪自动化的形式进行产品直面设计。它可以通过HDL语言和电路原理图等自动化的逻辑编译的相关程序输入其中,并生成相应的目标系统。简单说来,这种技术能够以计算机为依托,从电路功能模拟、电路性能分析、电路的设计以及优化、电路功能的测试和完善等全部流程都可以以自动化的形式实现。第三点,EDA技术具有较高的集成化特点,并可以自身构成片上系统。EDA技术在数字电子电路设计中是以芯片为载体进行设计的一种设计方式。因而,当前大规模集成线路的不断发展能够有效促进繁杂的芯片设计工作的完成,同时也能够完成专业化的集成电路设计[4]。第四点,EDA技术可以大大提高系统升级的工作效率,它能够当场进行目标系统的编程,实现有效的系统升级。第五点,EDA技术具有自动化的特点,且进行技术开发的时间并不长,且能够有效节约设计的费用,避免了资源的浪费,同时EDA技术也具有极大的灵活性和实用性,可操作性较强。

1.2EDA技术设计流程简介

EDA技术对于数字电子电路设计的意义可以认为是它将推动了数字电子电路设计的一个发展变革,使其进入了一个发展的新时期。传统的电路设计的模式多是以硬件搭试调试焊接的方式,而E-DA技术以计算机自动化的设计模式对传统的电路设计模式进行了创新。EDA技术设计流程主要包含8个流程依次为[5]:设计指标设计输入(将电路系统采用一定的表达式输入计算机,其中包括图形输入以及文本输入)逻辑编译(将设计者在EDA中输入的图形或文本进行有效的编排转化)逻辑综合(将电路中高级的语言转化为低级的,并与基本结构相应射)器件适配(将由综合器产生的网表文件配置到指定文件中,使之能够下载文件)功能仿真(跟进吧算法和仿真库对涉及进行模拟,以验证其涉及是否和要求一致)下载编程(将适配后生成的配置文件和下载文件以编程器下载)目标系统。

2可编程逻辑器件

数字逻辑编辑器具有自身的发展历程,一般可以将其分为分立元件、中小型标准芯片以及可编程逻辑器件等三个阶段。对逻辑器分类方面可以将其分为固定逻辑器和可编程逻辑器。其中固定逻辑器的电路是固定的、不可变的,而可编程逻辑器则可以为使用者提供多种逻辑能力,也可以在不同的时间内进行改变,进而完成不同的功能[6]。可编程逻辑器件(programmablelogicdevice,PLD)产生于通用集成电路,根据使用者对器件编程来确定其逻辑功能。可编程逻辑器件具有较高的集成度,一般能够满足大多数数字系统设计的需求。在科学技术快速发展的情况下,可编程逻辑器件也随之不断发展。当前,可编程逻辑器件已经成为解决逻辑方案的首选,这主要是因为它能够根据用户的需求进行相应的产品功能增加以及产品升级,且操作较为简便,具有低成本、低消耗、多功能、高集成性等优势。与此同时,当前一些公司也在不断对其进行研究,不断完善可编程逻辑器件的功能,并获得了较为显著的效果,如Altra公司的FLEX10K的系列产品、Xilinx公司的XC4000的系列产品[7]。

3VHSIC硬件描述语言

VHSIC硬件描述语言(Very-High-SpeedInte-gratedCircuitHardwareDescriptionLanguage,VHDL)是电路设计中使用的一种高级语言,主要在20世纪80年代由美国国防部认定的标准硬件描述语言,之后其他公司纷纷推出了VHSIC硬件描述语言设计环境。对此,我们需要对VHSIC硬件描述语言具有一个较为清晰的了解。数字电子电路设计的第一步就是使用EDA技术以及相应的软件开发工具进行设计输入。简单地说就是简要描述电路设计、硬件设计以及测试方法。在设计一些规模不大的数字电子电路时,一般硬件描述的方式为原先的时序波在设计一些大规模的数字电子电路时,其描述方式就需要采用具有较强针对性的硬件描述语言。VHSIC硬件描述语言不仅能够详细描述硬件电路的功能、定时与信号连接的关系,而且还能采用简洁的模式准确描述硬件电路中逻辑较为抽象的部分[8]。由于VHSIC硬件描述语言具有详细准确描述硬件电路功能的特征,因而,VHSIC硬件描述语言成为EDA技术在数字电子电路设计中最为常用的设计输入方式和描述语言。在数字电子电路设计中,VHSIC硬件描述语言已经成为使用最为广泛的硬件电路应用描述语言。这主要是因为VHSIC硬件描述语言具有硬件特点的语句,其结构和语法具有高级计算机具有高度相似性。除此之外,VHSIC硬件描述语言在程序结构上也有着十分明显的优势,它进行实体设计时能够将其设为可视部分和不可视部分。从中可以发现,VHSIC硬件描述语言与综上所述,可以看出VHDL硬件描述语言比传统的其他硬件描述语言相比,如AHDL、VBLE,具有强大的描述功能,能够有效规避器件的复杂结构,进而对数字电子电路设计进行有效的描述[9]。具体说来,与其他硬件描述语言相比,VHSIC硬件描述语言的特点主要有以下几个方面:其一,具有强大的功能以及灵活的设计。这主要是VHSIC硬件描述语言有着功能强大的语言结构,能够采用简短的语言进行复杂逻辑的描述;同时,它也具备多层次的设计功能,支持多种设计方法。其二,具有广泛的支持性,且易于修改。由于VHSIC硬件描述语言已经成为使用最为广泛应用描述语言,因而具有广泛的支持性;由于其结构化和易读化的特征,因而易于修改。其三,系统硬件描述能力强大,VHSIC硬件描述语言可以进行结构描述、寄存器传输描述、行为描述,也可以进行三者混合描述。其四,与器件设计相对独立,在进行VHSIC硬件描述语言可以不用考虑器件设计情况,专心用于VHSIC硬件描述语言设计的优化。其五,移植能力强,能够共享。VHSIC硬件描述语言设计完成后可以将成果进行分享,避免电路的重复设计。除此之外,VHSIC硬件描述语言还具有其他的特征:其一,VHSIC硬件描述语言属于设计输入语言,它能够通过计算机详细描述硬件电路的运行状态,并将其与数字电路的设计系统自动综合。其二,VHSIC硬件描述语言是常用的测试语言,它能够以测试基准对数字电子电路进行可以仿真与模拟,进而判断其功能情况。其三,VHSIC硬件描述语言是标准化语言,它是当前设计语言中使用最为广泛的语言之一,也是当前电子领域普遍认可的标准化语言。其四,VHSIC硬件描述语言是可读性语言,它不仅可以被计算机识读,同时也可以被设计者识读。其五,VHSIC硬件描述语言一种网表语言,它独特的语言结构让其在计算机设计中工作较好,同时它在设计工具间联系的格式中属于低级设计工具,即它在门级网表文件形成中具有相互转化的功能和高度兼容性。

4EDA技术在数字电子电路设计中的应用

我们可以通过设计一个数字钟电路来展现E-DA技术在数字电子电路设计中的应用,该数字电路钟能够显示秒、分、时。

4.1准备的设备

本次实验主要是选用FPGA芯片EDA技术实验工具以及电子计算机。

4.2实验设计方法

依照EDA技术的设计规范进行分层设计,其内容包括数字钟;时计数、分计数、秒计数以及译码显示;24进位制计数器、60进位制计数器以及译码显示电路。在VHDL语言描述上,要使用VHDL语言对60进位制计数器、24进位制计数器进行描述编程,并将两者进位标准进行调整,使其一致。关于译码显示电路的设计。在设计中可以使用动态译码扫描处理电路进行处理,这能够某个时间点点亮单个数字码而达到6个同时显示的视觉效果,这样不仅将电路能耗降到最低,同时也节约了器件资源,并延长了器件的使用寿命[11]。关于顶层设计,在这一设计中需要建立在底层设计模块的基础上,通过原理图方法将两者进行有机的融合,进而获得一个完整电路。

4.3编译下载

电路设计与开发范文第5篇

关键词:板级设计;EDA工具;硬件连接检查;Perl语言

中图分类号: TP311文献标识码:A文章编号:1009-3044(2008)33-1496-02

Discussion of Hardware Connectivity validation method in Board Level Design

JIANG Yuan-jun, WU Xiu-long

(School of Electronic Science and Technology, Anhui University, Hefei 230039,China)

Abstract: Based on the developing trend of board design's high-speed and complication, it is no surprise that how to shorten the time-to-market of products is an import metric for every design company. After fixing on the architecture of system and finishing the design entry, engineers can use EDA tools to do ERC check in order to reduce design errors. But EDA tools are short of the function in hardware connectivity check. In this article, we will discuss the feasibility and superiority of using a new method to go on with the hardware connectivity check, which is based on Perl (Practical Extraction and Report Language).

Key words:board level design; EDA tool; hardware connectivity validation; Perl

1 引言

目前的电子设计大多数是集成系统级设计,整个项目中既包含硬件整机设计又包含软件开发。这种技术特点向电子工程师提出了新的挑战。

首先,如何在设计早期将系统软硬件功能划分得比较合理,形成有效的功能结构框架,以避免冗余循环过程;

其次,如何在短时间内设计出高性能高可靠的PCB板。因为软件的开发很大程度上依赖硬件的实现,只有保证整机设计一次通过,才会更有效的缩短设计周期。

众所周知,电子技术的发展日新月异,而这种变化的根源,主要因素来自芯片技术的进步,半导体工艺日趋物理极限,超大规模电路成为芯片发展主流[1]。而这种工艺和规模的变化又带来了许多新的电子设计瓶颈,板级设计也受到很大的冲击,最明显的一个变化是芯片封装的种类极大丰富,功能集成度、复杂度明显增高;另外,芯片工作频率提高,使得系统工作频率的提高成为可能。而这些变化必然给板级设计带来许多问题和挑战。首先,由于高密度引脚及引脚尺寸日趋物理极限,导致低的布通率;其次,由于系统时钟频率的提高,引起的时序及信号完整性问题;第三,工程师希望使用功能更完备的EDA工具来完成复杂的高性能的设计[2]。

据此,我们不难看出,板级设计有以下三种趋势:

1) 高速时钟频率及快速边沿的设计成为主流[3];

2) 产品小型化及高性能必须面对在同一块板上由于混合信号设计技术(即数字、模拟及射频混合设计)所带来的分布效应;

3) 设计难度的提高,导致传统的设计流程及设计方法很难胜任当前的技术。

基于板级设计的发展趋势,目前有许多厂商从事电子设计自动化(EDA)工具的开发工作,如 Cadence, Synopsis, Mentor Graphics等EDA工具供应商。EDA所涉及的领域非常广泛,包括网络、通信、计算机、航天航空等。产品则涉及系统板级设计、系统数字/中频模拟/数模混合/射频仿真设计、系统IC/ASIC/FPGA的设计/仿真/验证,软硬件协同设计等。任何一家EDA供应商均很难提供满足各类用户的不同设计需求的最强的设计流程。

2 板级电路的硬件连接验证方法

2.1 电路原理图设计流程

我们知道原理图设计是电路设计的基础,只有在设计好原理图的基础上才可以进行印刷电路板的设计和电路仿真等。电路原理图设计流程如图1所示。

原理图具体设计步骤如下[4]:

1) 新建原理图文件。在进人 SCH 设计系统之前,首先要构思好原理图,即必须知道所设计的项目需要哪些电路来完成,然后用相应的设计输入工具来画出电路原理图。

图1 电路原理图设计流程图

2) 设置工作环境。根据实际电路的复杂程度来设置图纸的大小。在电路设计的整个过程中,图纸的大小都可以不断地调整,设置合适的图纸大小是完成原理图设计的第一步。

3) 放置元件。从元件库中选取元件,布置到图纸的合适位置,并对元件的名称、封装进行定义和设定,根据元件之间的走线等联系对元件在工作平面上的位置进行调整和修改使得原理图美观而且易懂。

4) 原理图的布线。根据实际电路的需要,利用 SCH 提供的各种工具、指令进行布线,将工作平面上的器件用具有电气意义的导线、符号连接起来,构成一幅完整的电路原理图。

5) 建立网络表。完成上面的步骤以后,可以看到一张完整的电路原理图了,但是要完成电路板的设计,就需要生成一个网络表文件。网络表是电路板和电路原理图之间的重要纽带。

6) 原理图的电气检查。当完成原理图布线后,需要设置项目选项来编译当前项目,利用工具提供的错误检查报告修改原理图。

7) 编译和调整。如果原理图已通过电气规范检查,那么原理图的设计就完成了。这是对于一般电路设计而言,尤其是较大的项目,通常需要对电路的多次修改才能够通过电气规范检查。

8) 存盘和报表输出:电路图输入工具一般会提供利用各种报表工具生成的报表(如网络表、元件清单等),同时可以对设计好的原理图和各种报表进行存盘和输出打印,为印刷板电路的设计做好准备。

2.2 硬件连接验证方法的目的和验证范围

在2.1中描述的原理图设计流程中,电气规范检查是完成原理图设计的必要条件[5]。对于一个庞大复杂的系统板级设计来说,由于设计工具在硬件连接性方面的检查功能不完善,或者由于设计人员在设计中的忽视,硬件连接方面的一些错误在通过电气规范检查并报表输出后的板级设计中时有出现。在这里所说的硬件连接方面的错误主要是指:原理图中器件symbol中的芯片引脚名称与该芯片说明书中命名的引脚名称不同;没有连接的引脚;输入/输出脚的冲突;电路设计中是否按照每个芯片说明书中规定的供电电压为该芯片供电;电路设计中是否存在芯片的某一个引脚存在重复的上拉、下拉电阻或者同时存在一个上拉电阻和一个下拉电阻的矛盾情况。其中输入/输出脚的冲突包括两个方面:一是驱动芯片和接收芯片的连接引脚的信号方向是否存在同为输入或者同为输入的相悖情况,二是驱动芯片输出脚的输出高低电平和接收芯片输入脚的高低电平是否存在过驱动或者不足驱动的情况。

为了进一步分析进行硬件连接验证的必要性,以下按照连接性错误的类型逐一阐述:

1) 电路设计中是否存在未连接的节点:进行节点连接验证通常是为了确认器件的引脚是否存在没有正确连接的情况,或者是否存在孤立节点即电路设计中是否存在某器件的一个节点没有连接到其他任何器件的情况。通常情况下,电路设计人员会对电路设计中一些故意悬空的芯片引脚标注上“NC”,这种情况则不属于未连接的节点。在分析中,我们认为未连接的节点既可以是器件的一个引脚未连接,也可以是完全没有连到其他器件;对于电容,电阻和电感这样的器件,我们也需要去确定它们的两个引脚在设计中是否都被使用。

2) 电路设计中的是否存在芯片说明书中明确指出未连接时需要进行特殊处理的输入脚:进行输入脚测试的目的和进行节点测试的目的很相似。电路图中的浮空的输入脚必须被给予特别的关注,因为由于它们处于逻辑“1”和逻辑“0”的不确定性可能会给器件带来不稳定的工作状态,或者引入了电子噪声从而影响该器件的其他功能。

3) 电路设计中的是否存在错接的电源脚或者地脚:进行电源和地脚的连接验证的目的是为了确保电路设计中的每一个器件的电源和地脚都接入到正确的电源网络上。此处的“正确”包含两个方面的含义,其一是指电源脚接到电源节点,且地脚接地;其二是指电源脚所接的电压值处在该芯片说明书要求的工作电压范围之内。此外,输入脚和输出脚是否存在重复的上拉或下拉电阻,以及是否存在冲突的上/下拉电阻这两个问题也必须予以关注。

4) 电路设计中的是否存在相悖的引脚方向:

图2 纠错流程图

我们进行此部分验证所遵循的评价标准如下:

a. 所有接收器件的输入脚都至少被一个驱动器件的输出脚驱动;

b.电路设计中的任意一个特定的节点只允许连接一个输出脚;

c.输出脚不能直接和电源/地脚连接。

5)电路设计中的是否存在数字驱动脚和数字接收脚的DC特性不匹配:我们进行此部分验证是为了检查驱动脚和输出脚的高/低电平是否匹配,防止芯片存在过驱动或者不足驱动的情况出现。

6) 电路设计中的是否存在命名不一致性的情况:我们进行此部分验证的目的是检查电路设计中引脚的功能和节点命名是否存在不一致性。不一致性通常会发生在FPGA和连接性器件上,因为这些器件的引脚功能在电路设计中没有明确提及。同时,差分信号的极性连接正确性也可以在此部分检查。

2.3 硬件连接验证方法的实现

为了完成2.2中列举的板级设计的硬件连接验证,我们需要按照以下三个步骤:

1) 首先比对原理图中所有器件的供电电压、引脚信号方向、数字脚的高低电平等一系列参数是否与对应的芯片说明书的参数一致,如图2所示:

2) 其次检查原理图中所有芯片的连接,特别是没有使用的引脚的特殊处理、Open-Drain的引脚、电源的去耦电容等是否满足其对应的芯片说明书中的特定要求。

3) 最后检查原理图中所有存在连接关系的芯片中互相连接的引脚的输入输出方向是否正确,即不存在两个输入或者输出脚对接的情况;检查设计中存在互相连接的驱动与被驱动关系的芯片之间对接的数字脚的高低电平是否匹配,即不存在过驱动或者不足驱动的情况。

为完成上面提到的硬件连接验证的三个步骤,我们需要精确地比对电路原理图中的器件参数和芯片说明书中的对应参数的数值或者范围是否一致。在日趋复杂的板级设计中要准确无误地完成参数的比对工作,单单凭借设计师的经验或者肉眼观察是很难做到的,这就要求我们必须借助有效的辅助工具进行参数处理,排除电路原理图和芯片说明书参数已经匹配的连接,缩小检查的范围,最终凭借设计经验和芯片说明书的规范来锁定设计中确实存在的硬件连接错误,整个流程如图2所示。

3 结论

本文介绍了一种新的基于Perl语言[4]的数据库处理工具进行系统板级设计中的硬件连接性验证的方法,运用此方法,我们可以在系统设计的早期阶段发现系统内潜在的芯片功能性或者参数匹配方面的错误,将硬件设计的错误降到最低,便于大大提升设计一次成功率,降低设计成本,缩短产品进入市场的周期。

参考文献:

[1] 王卫平.电子工艺基础:第2版.2003年09月.北京:电子工业出版社.

[2] 集成系统PCB板设计的新技术.[2003-11-25].上海泰齐科技网.

[3] 周润景,袁伟亭编著.Cadence 高速电路板设计与仿真(第2版).2007年09月.北京:电子工业出版社.

[4] 李刚,王艳林,孙江宏等编著.Protel DXP电路设计标准教程.2005年06月.北京:清华大学出版社.

电路设计与开发范文第6篇

关键词: 高职高专《Protel电路设计》教学 主要问题 教学改革措施

1.引言

随着科学技术的发展,特别是近些年来现代电子技术的飞跃发展,目前仪器仪表的设计与开发已广泛采用了大规模、超大规模的集成电路。因此,印制电路板变得日趋精密且复杂,传统的手工设计和制作PCB的方法已难以适应现代化大生产的需要。为了解决这一问题,各种电路设计辅助软件应运而生,如Protel、Powerpcb、Allegro等。Protel进入我国比较早,使用方便、易学、实用,因此在国内电子设计行业中得到广泛应用。为了便于电子相关专业的学生从事电子线路设计工作,许多高校开设了《Protel电路设计》这门课程。但是在有限的学时里,如何让学生在电路设计中能熟练运用Protel是值得深入探讨的问题。

以前Protel电路设计选用的版本为Protel 99SE,随着该软件和计算机操作系统的升级,现在各高校陆续选用Protel 99SE的升级版本Protel DXP进行教学。Protel DXP是Altium公司在2002年推出的Protel家族的最新成员。Protel DXP继承了Protel系列产品的优点,与Protel 99SE相比,它在许多方面都有很大的改变,比如:Protel DXP实现了各种设计工具无缝集成,同步化程度更高;支持VHDL设计和混合模式设计;整体的设计概念,支持自然的非线性设计流程,等等。

2.教学中存在的主要问题

Protel电路设计是一门计算机辅助设计课程,在讲授的过程中,教师常常注重理论而轻实验,一般先介绍Protel DXP软件的设计界面和基本操作方法,接着给一个具有特定功能的电路图,讲解图中电路的工作原理后,然后要求学生运用protel DXP软件绘制该电路系统的原理图和印制电路板图。

一些学校的实验课时间安排不尽合理,一般在整个理论教学之后安排实验课,因此学生无法及时消化每次理论课的内容。

Protel电路设计这门课安排在电路原理、模拟电子技术、数字电子技术和单片机原理课程之后。学生通过学习Protel电路设计这门课程,应学会运用Protel DXP软件设计电子产品的电路原理图和PCB图,从而制造电子产品。而很多教师在实验教学时,没有将学生以前学的课程有效地联系起来,而只是给出一个电路图,要学生运用Protel DXP绘制电路原理图,接着绘制PCB图。学生通过学习Protel电路设计,仅仅掌握了基本的操作方法和技能,但学习目标不明确。

3.教学改革初探

高职高专《Protel电路设计》的教学现状是学生的学习兴趣不高,学习目标不明确,不能熟练运用Protel DXP软件,分析问题和解决问题的能力有待提高。针对上述问题,我结合教学经历,根据已有的Protel教学经验,对这门课程的教学改革措施提出一些自己的想法。

3.1确立以培养学生实践能力为主的思想。高职高专教育秉承以就业为导向的办学理念,以社会需要为目标,坚持面向生产、建设、管理、服务第一线,根据岗位所需能力与素质提炼、整合成专业课程,构建新型高职教学模式,培养社会急需的应用型人才。因此,必须根据高职高专学生的实际水平和培养目标,因材施教,以理论够用为原则,把培养学生的动手实践能力和创新意识放在第一位置,充分意识到培养学生动手能力的实践教学具有更重要的意义。

3.2在课程体系改革上,教师应树立理论与实验并重的理念,突出课程重点和难点,努力提高学生的学习兴趣。开设Protel电路设计这门课的目的是让学生能熟练运用Protel DXP软件进行设计电路原理图和PCB板制作。因此Protel电路设计这门课是一门实践性比较强的课程,不仅要理论和实验并重,而且要适当地增加一些实验。在课堂理论教学中,需要突出重点和难点,特别是选择电路图,要选择具有常用功能的经典电路图,如MCS-51开发学习板。根据电路图,为学生讲解其具有的一些功能及如何设计这些功能,让学生知道为什么这么做,怎么做,达到从理论知识到具体操作的转化,而不仅仅是运用Protel DXP画电路图。引导学生设计电子产品,画出电路原理图,在适当的时候给予辅导,启迪学生的创新型思维,提高学生发现问题和解决问题的能力。

3.3合理安排理论教学和实践教学,两种教学可将相关课程有机联系起来。针对高职高专学生的特点,为了培养他们的综合设计能力和实践动手能力,注重运用多学科的理论知识,合理安排理论教学和实践教学,密切联系实际。Protel电路设计由理论教学和实践教学两个部分组成,在有限的学时内,为让学生灵活运用Protel DXP进行电路设计,需要合理地安排理论教学和实践教学。

合理安排理论教学和实践教学的时间,不能把实践教学看成理论教学的辅助手段,而安排在整个理论教学之后。Protel电路设计是一门实践性比较强的课程,理论内容比较少,主要讲解如何操作。理论教学和实践教学可以根据内容交叉进行,及时消化理论内容,熟练Protel DXP操作。这门课程的实践环节可以分为基础操作实验、复杂操作实验和综合设计实验几个层次。基础操作实验,主要是让学生加深对理论的理解,熟悉软件运行环境,基本界面及菜单,常用辅助工具的基本操作,等等。复杂操作实验是对这门课程所有知识点的综合运用,如层次法画电路图,如何设置电路检查规则和自动布线规则,等等。在综合设计实验中,让学生综合运用所学的专业课知识进行创新设计,以此培养学生的动手能力,以及发现问题和解决问题的能力。在综合设计实验中,让学生根据学过的理论知识如模拟电子技术、数字电子技术、单片机原理等,设计一个自行车里程和速度的仪表。在设计过程中,设计检测电路的原理图,要求原理正确。根据该仪表的使用环境,合理选择元器件,达到可以使用的目的。在电路板的制作方面,运用Protel DXP绘制电路原理图和PCB图,并对原理图进行仿真和检验。特别是PCB的设计规则比较丰富,这些规则涉及到间距、线宽、布局等。通过综合设计实验,培养学生的创新意识和实践动手能力,同时综合运用相关课程的知识来解决问题,学以致用,提高学习的趣味性,同时掌握辅助电路设计软件Protel DXP,为今后的就业打下坚实的基础。

4.结语

根据高职高专《Protel电路设计》的教学现状,教师应对Protel电路设计进行教学改革,树立重视实践教学、加强理论与实践相结合的思想,综合运用讲授、讨论、直观演示、任务驱动、实验与探究等教学方法,在Protel DXP的教学中将模拟电子技术、数字电子技术、单片机原理等课程联系起来,以提高学生的学习兴趣,增强实践动手能力,培养分析问题和解决问题的能力。教学改革的实践表明,Protel电路设计课程教学增强了学生的学习兴趣,强化了学生在教学活动中的主体地位,很好地培养了学生的创新思维和实践动手能力,并为学生今后的就业打下了良好的基础。

参考文献:

[1]杨志亮.Protel 99SE电路原理图设计技术[M].西安:西北工业大学出版社,2002.

电路设计与开发范文第7篇

【关键词】FPGA;增量式编码接口;电路设计;ARM

前言:作为目前国内外应用较多的传感器,光电编码器可以以光电转换的形式将输出轴上的几何机械位移量转变为脉冲量与数字量可以较好的满足信息的传递、输出、储存和应用。增量式编码器是光电编码器的一种主要形式,近年来,在我国的信息领域得到了广泛应用。本文通过对增量式编码器接口电路设计的基本原理进行分析,并结合增量式编码器的相关概念和特点,为基于FPGA的增量式编码器接口电路提供了合理的设计思路。

一、增量式编码器简述

增量式编码器是将输出轴上的机械位移转换为具有周期性的电信号,再将此电信号转变为计数脉冲,进而将位移的大小用脉冲个数来表示的一种光电编码器[1]。增量式编码器的优点为构造和原理较为简单、支持其运作的机械平均寿命最高可达几万小时、抗外部干扰能力强且稳定性与安全性较高,适用于长距离的电路信号传输。

二、增量式编码器接口电路设计的基本原理

(一)四倍频与鉴相电路的设计原理

增量式编码器运行过程中,流经其内部的两路信号(设为A相信号与B相信号)在上升沿与下降沿的过程中各自变化了两次,且在电路转换的一个周期内,无论A相信号与B相信号如何变化,其范围均处于00-10-11-01-00与00-01-11-10-00之中。此外,由于A、B两路信号的频率要比系统时钟的时钟信号低得多,因此,利用系统时钟对A、B两路信号进行出发判断,进而产生四倍频脉冲信号与鉴相电平[2]。此时,增量式编码器中的计数器则会通过触发四倍频脉冲器的跳变沿将两路信号的产生的脉冲个数进行计数,以完成位移向电路信号的转化工作。鉴别电机正反转的具体方法为:如鉴相电平在00-10-11-01-00范围内的输出为0,说明电机正转;若在00-01-11-10-00范围内的输出为1,则说明计数器在做单位为1的减法计数。

(二)基于FPGA的ARM接口设计原理

由于数据总线是编码器计数值输出进而传达到ARM(RISC微处理器)的媒介,而FPGA本身的配置时间通常要大于同一系统中ARM的上电加载程序时间,又由于ARM芯片的数据总线是与系统中FPGA的控制及检测通道相连,通道内的电平值会有一部分存在FGPA在加载完成后的数据总线当中。因此,ARM芯片在进行电加载程序时会和系统的现场可编程门阵列发生较大冲突,造成系统无法读取正确的数据。

为了保证ARM可以将增量式编码器的计数值正确读取出来,将专门删除电子目录的读使能信号RD作为数据总线的三态控制信号与增量式编码器连接,而在系统运行时,只有读使能信号与地址信号均被选通时,由编码器内的计数器所计算出的16位计数值才得以导通,进而传输到数据总线上[3]。

三、基于FPGA的增量式编码器接口电路设计方法

利用QuartusⅡ软件(Altera公司开发的FPGA/PLD综合性软件)以混合模式的电路工程设计方法进行增量式编码器接口的电路设计。首先,构造出系统的四倍频模块和鉴相模块,在QuartusⅡ软件平台上通过利用标准硬件描述语言VHDL实现上述两个模块的功能。具体流程为:编码器前级四倍频模块与鉴相模块分别向线路输出四倍频信号与鉴相信号,设定计数器以信号输出的方向依据对其进行双向计数,当读使能信号与输出地址信号均被选通时,将相关数据经由数据总线显现到计数器终端屏幕上。至此,完成电路接口的位移和电能转换。

四、时序仿真与验证结果分析

就本文而言,所选取的FPGA芯片的型号为E144C8,仿真平台QuartusⅡ的版本为QuartusⅡ8.1,经由仿真平台建立增量式编码器的波形仿真文件对所涉及电路接口的仿真验证,并将系统编译后的仿真波形记录下来。在此基础上,通过建立逻辑分析文件的形式对经由增量式编码器转化而来的电路内部信号进行实时采集和监测,进而将系统逻辑分析仪的采集信号波形进行记录并加以分析。

通过对上述系统编译的仿真波形与逻辑分析仪的采集信号波形进行分析,得出结论如下:(1)记录增量式编码器正转时的仿真波形,并对其观察和分析可知,当计数值count_out由初始值0000增至000F时,系统实现四倍频加计数;(2)记录增量式编码器反转时的仿真波形,通过对其观察发现计数值count_out由(1)中的末值000F将至0003时,系统实现四倍频减计数;(3)对增量式编码器正反转切换时的仿真波形进行记录并加以分析发现,正反转切换时,位于某项信号后,另一相信号前的第一个定时器INCLK的上升沿,其计数方向立刻发生转变,即由加计数变为减计数。(4)对逻辑信号仪采集的信号波形进行分析可知,当读使能型号RD波形较低且地址信号为00h时,增量式编码器中计数器所显现的计数值则会经由三态总线传输到系统的数据总线上。。综上所述,本文所涉及的电路已基本实现了增量式编码器的四倍频、双相信号计数以及信号鉴别和ARM的通信功能。

结论:本文以基于FPGA的增量式编码器接口电路设计为研究对象,通过对增量式编码器的概念和优点进行分析,从四倍频与鉴相电路以及基于FPGA的ARM接口设计原理等方面对增量式编码器接口电路设计的基本原理展开了深入研究。在此基础上,结合增量式编码器接口电路的设计方法对其时序仿真进行了模拟分析。可见,未来加强对基于FPGA的增量式编码器接口电路设计在ARM上应用的研究力度,对于实现信号的自动检测与自动控制具有重要的现实意义。

参考文献:

[1]徐悦.基于单片机的板带轧机AGC控制系统开发与设计[D].燕山大学,2013.

电路设计与开发范文第8篇

【关键词】Altium Designer,使用方法,电路设计

对电子专业学生而言,在学习电子技术相关知识的同时,还必须学会利用电路设计软件绘制电路原理网和印制电路板PCB图。Altium Designer是Altium公司开发的高端设计软件,它拥有强大的电子设计功能,深受电子类各专业设计人员和广大电子爱好者的青睐。如何在有限的教学时间内,让学生熟练地掌握Altium Designer制图软件,将绘制的原理图转换为印刷电路板的方法,完成印刷电路板的布局和布线,并应用到具体的电子电路设计中去,是老师要重点探讨的问题。根据我多年来的教学经验,总结快速掌握Altium Designer软件的学习方法和技巧。

1、创建PCB项目工程文件。启动Altium Designer软件,执行菜单命令[File]/[New]/[Project]/[PCB Project],完成新建项目工程,同时保存项目文件。

2、创建原理图文件

(1)在新建的项目工程文件(*.PrjPCB)中添加SCH文件(*.SchDoc),执行菜单命令[File]/[New]/[Schematic],此时项目面板中“ PrjPCB”项目下面出现“Sheet1.SchDoc”文件名,这是系统以默认名称创建的原理图文件,执行菜单命令文件[File]/[Save],在弹出的保存文件对话框中输入文件名,单击保存按钮。

(2)放置元器件并修改元件属性。打开元器件所在元件库,然后根据原理图要求,找到并放置元器件。同时双击放置图纸上的元器件,打开元件的属性对话框,对元件属性进行修改,主要包括Designator、Value和Footprint。

Altium Designer中提供很多库文件,其中系统默认打开两个常用的集合元件库,即常用的分立元器件库Miscellaneous Devices.IntLib和常用的接插件库Miscellaneous Connectors.IntLib 。

对于某些特殊元器件, Altium Designer提供的库文件里没有此元件,需要自己绘制。执行菜单命令[File]/[New]/[Library]/[Schematic Library],在Schematic Library界面,进行绘制原理图元件,绘制完成后,将文件保存在项目工程中,再放置该元件。

(3)原理图连线。执行菜单命令中[Place]/[Wire]或单击布线工具栏的放置导线按钮,光标变为大十字光标。光标移到元件的引脚端时,光标中心的“×”号变为一个红“米”字形符号,表示导线的端点与元件引脚的电气点可以正确连接,单击左键,导线的起点与元件的引脚相连接,同时确定了导线的起点,移动光标时在光标和导线之间会有一条线出现这就是所要放置的导线。

3、编译原理图。编译项目是Altium Designer进行设计过程中的重要步骤,主要包括项目检查、各种数据生成等内容。执行菜单命令[Project]/[Compile PCBProject Document.PrjPcb],对所建项目进行编译,同时弹出信息面板(Messages),在信息面板中就会显示原理图的错误所在,如信息面板是空白,则说明原理图没有错误,符合你设置的检查规则。

4、PCB文件的创建。在新建的项目工程文件中添加PCB文件,执行菜单命令[File]/[New]/[Pcb],此时项目面板中“ .PrjPCB” 项目下面出现“Pcb1.PcbDoc”文件名,单击保存按钮,保存PCB文件。执行菜单命令[Design]/[“Import Changes From * .PrjPCB],把项目工程中的SCH导入PCB文件中。在导人SCH过程中,出现错误较多的就是,元件封装没有发现,出现这种错误的一般原因有:

(1)元件封装库没有导人新建的PCB文件中,要求在导人SCH前把对应的元件封装库导入PCB文件中。

(2)自己绘制的封装库,新建的元件封装名字和原理图里元件属性里的封装名字不对应导致错误。自己绘制的封装,其封装命名,要和原理图里元件属性里的封装名相同,否则要进行修改。

5、规划电路板。在软件界面下层的选择中,选中禁止布线层Keep Out Layer,用画线命令画矩形框,来确定电路板的电气边界。

6、元件布局。SCH正确导人后,对于元器件布局要合理放置,既要注意美观性,同时也要符合电路设计的要求。

7、电路板布线。在PCB设计中,布线操作之前,一般要进行布线规则设置。

(1)布线规则设置。执行菜单命令Design/Rule,根据对电路板的实际的要求设置布线规则。首先设置布线层数,是单面板还是双面板,是底层、顶层或双面走线;再指定印刷导线的线宽,通常接地线和电源线要宽一些,信号线宽要窄一些,并尽量把电源线、地线和其他信号线的走线一致,以增强抗干扰能力。

(2)手工或自动布线。对于简单的电路,可以直接利用交互式布线工具,在指定的层绘制印刷导线;对于较复杂的电路,可以先进行自动布线,再采用手工布线,进行局部修改和优化,而且要反复修改,以达到布线的美观性和合理性。

8、制作印刷电路板,完成产品设计。将设计的PCB图,打印、转印、腐蚀、打孔,制作出印刷电路板,并安装焊接元件,调试,最后制作出真实的产品。

Altium Designer设计出的印刷电路板图,其效率高、可靠性好,但要设计出高质量的电路板,应对电路原理的设计、元器件的选择、空间电磁波的干扰、导线的宽度和走向等诸多问题综合考虑。

参考文献: