首页 > 文章中心 > 数字电路设计知识

数字电路设计知识

开篇:润墨网以专业的文秘视角,为您筛选了八篇数字电路设计知识范文,如需获取更多写作素材,在线客服老师一对一协助。欢迎您的阅读与分享!

数字电路设计知识范文第1篇

一、教学整合的意义

根据高等职业教育培养目标的要求,结合教育部大力推行的高职高专教学改革,高职院校电类专业对部分课程进行了教学改革。《数字电路与EDA技术》这门课程就是将数字电路和EDA技术的教学进行整合。

数字电路课程是电类专业的专业基础课,通过对本门课程的学习,使学生掌握典型的数字电路的组成、工作原理和工作特性,能够设计一些逻辑功能电路,并为专业主干课程的学习打下基础。对于数字电路的设计,传统的设计方法是以逻辑门和触发器等通用器件为载体,以真值表和逻辑方程为表达方式,依靠手工调试。随着数字电子技术的迅速发展,特别是专用电子集成电路的迅速发展,基于EDA技术的设计方法成为数字系统设计的主流。EDA技术就是以计算机为工具,在EDA软件开发平台上,使用硬件描述语言完成设计文件,然后由计算机自动完成逻辑编译、化简、分割、综合、仿真等,最终对特定目标芯片进行适配编译、逻辑映射和编程下载。

EDA技术的设计方法正在成为现代数字系统设计的主流,作为即将成为工程技术人员的职业技术学院的电类专业的学生只懂电子技术的基本理论和方法,而不懂如何设计电路,会限制就业的岗位。实际上数字电路和EDA技术是不能分家的,因为前者是理论基础,后者是工具,将两者整合既能学好理论又能提高实践技能。如果作为两个课程分别学习则不适应高职高专的学制长度。因此,将数字电路与EDA技术有机地融为一体是高职教育的要求和未来发展的需求。

二、教学方法探讨

在整合后的课程中我们把EDA技术贯穿于数字电路课程教学全过程。例如,在讲授门电路时,就开始用EDA软件仿真演示,熟悉用原理图输入一个简单门电路的过程,通过编译、功能仿真检验门电路的功能,可以加深学生对门电路知识的理解;在讲授组合逻辑电路时,引入硬件描述语言的设计方法,并介绍基于EDA技术的数字电路设计方法;在讲授时序逻辑电路时,可以引入一些简单的综合性的电路设计,为学生创造一个宽阔的设计空间。在开始讲解基于EDA技术的数字电路设计方法时,可以通过引入简单的数字电路的设计流程,使学生从宏观上对EDA设计方法有一个整体的了解,让学生在潜意识里建立这部分内容的知识框架。下面简单介绍组合逻辑电路中的二选一数据选择器的EDA设计流程:

(1)编写硬件描述语言(以VHDL语言为例)。在EDA编程软件中输入设计源文件,如图1所示。

(2)逻辑编译。逻辑编译过程包括检查设计源文件是否有误,进而提取网表、进行逻辑综合和器件的适配,最后形成编程文件。

(3)功能仿真。通过模拟仿真测试电路的逻辑功能是否达到设计要求,仿真波形如图2所示。

(4)锁定引脚。将程序中各端口名称与硬件电路中的各引脚对应。

(5)编程下载。功能仿真成功后,就可以将设计好的项目下载到逻辑器件中,实现既定的功能。

在课程教学中,我们采用项目教学的方法,制定一系列由易到难的项目,例如,基本门电路的设计、数据选择器的设计、全加器的设计、数字频率计的设计、交通信号灯控制器的设计、数字钟的设计等。通过各个项目展开知识点的讲解,包括数字电路的基础知识、EDA技术的入门、数字电路的分析方法、原理图的设计方法、硬件描述语言的描述方法及软件仿真和硬件下载等。在教学中尽可能地将课堂搬到实验室,让学生边学边练,将理论教学与实验教学融为一体。教学可以一部分安排在数字电路实验室,一部分安排在EDA实验室,比如对于一些简单的数字电路可以安排用数字电路实验箱进行一般的实验验证,使学生知道如何搭建一个简单的电路,如何验证一个电路的功能,从而对数字电路产生一个感性的认识。在EDA实验室,学生可以学习用EDA技术设计数字电路,包括原理图或硬件描述语言的输入、编译、功能仿真、引脚分配、下载等。

数字电路设计知识范文第2篇

【关键词】高职教育 数字电路与仿真 教学改革 项目化教学法

【中图分类号】G642 【文献标识码】A 【文章编号】1674-4810(2014)20-0094-01

数字电路与仿真是高职电子类专业一门主要的专业基础必修课程。一直以来,数字电路与仿真都是采用黑板和实验两种教学模式。在进行理论讲授时是以老师为主体,学生总是被动地接受知识;而在实践环节教师仍以传授知识为目的,实践课程被作为课堂理论知识的验证。一般的教学方法造成了在教学过程中教师一手包办、学生动手机会较少的现象。在这样的教学模式下,学生就会缺乏对这门课程的学习兴趣,抑制学生的创造力和主动性,所以必须对数字电路的教学方式进行改革,引入项目化教学法。项目化教学法是将该课程的知识转化为若干个教学项目,然后老师和学生通过共同完成一个完整的项目而进行的教学活动,这就是一种真正的理论与实践相结合。在数字电路与仿真的课程改革中引入项目化教学,不仅打破了理论课、实验课的界限,也将理论教学、实践教学、企业的相关要求融为一体,达到了高职教育与企业生产的零距离,而且实现了以就业为导向、以技能为核心的办学宗旨。

根据高职高专的培养目标,结合高职高专教学改革的要求,本着“工学结合、项目引导、任务驱动、教学做一体化”的原则。全书共有八个项目,包括三人表决器电路、抢答器电路、数码显示电路、计数分频电路、触摸式防盗报警电路、温度检测电路、数字钟电路等的设计与装调以及用FPGA实现计数器等。通过项目任务的完成,提高学生对数字电路的理解,使之能综合运用所学知识完成小型数字系统应用电路的设计制作,包括查阅资料、确定电路设计方案、计算与选择元器件参数、安装与调试电路,能使用相关仪器进行指标测试和编写实训报告。

数字电路主要课程内容为数制与码制、逻辑函数、逻辑门电路、组合逻辑电路、触发器、时序逻辑电路、存储器、数/模与模/数转换、脉冲信号产生电路及应用内容。因课程内容不集中,学生学后不加以运用,导致学生学完后容易忘记。所以,在课程改革中要以项目为单元、以应用为主线,将理论知识融入实践项目中。让学生在做中学、在学中做,巩固每个理论知识点,提高自己的动手能力。

一 项目任务的选取

项目化教学法有别于一般的教学方法,课程中理论知识和实践技能的传授是通过完成具体的项目来实施的。因此,在数字电路的项目化教学中,项目任务的选取力求具有典型性和可操作性,数字电路项目化教学的任务为:(1)三人表决器的设计与制作;(2)抢答器电路的设计与制作;(3)数码显示电路设计与制作;(4)触摸式防盗报警电路的设计与制作;(5)数字钟的设计与制作。

二 项目教学的实施过程

项目任务在教学实施的过程中是要让所有学生的参与教学活动,项目化教学注重的不是最终的结果,而是完成每个项目的过程。在数字电路的项目化教学过程中,要以项目为教学单元,采用分组的方法,每组包含4~5名学生,每个项目应根据课程的知识结构分成若干个子任务,在每个子任务里要明确学生应掌握的每个基础知识和操作技能,子任务的实施要以学生为中心,老师充当技术支持的角色,主要由各个小组成员一起探讨问题和解决问题,老师可对学生给予指导和帮助,逐步培养学生养成自己思考的习惯。项目实施的过程为:(1)引入项目;(2)老师和学生共同分析项目,明确电路功能,确定若干个子任务;(3)学生通过查阅资料和所学的知识对每个子任务提出实施方案;(4)学生根据每组讨论结果制定电路方案;(5)学生利用Multisim 10仿真软件检测所设计的电路是否符合要求,来依次完成各子任务;(6)学生把各子任务进行级联,通过综合调试完成整个项目;(7)老师检查设计及调试结果,然后进行教师评价、学生评价、自我评价;(8)书写电路设计报告,分析电路设计的优缺点。

三 项目化课程学习的管理制度

为了使每个项目顺利进行,老师专门制作了项目进度表和子项任务卡。学生根据进度表了解本次任务要求和内容。老师在进行学习管理时,采用学生实践任务进度公示的方法,对那些进度较慢的学生给予辅导和帮助;对动手能力较强、完成项目快的学生给予奖励。同时老师对理论知识的讲授时间和实践技能的演示时间也作了合理安排。这样项目化教学的设计,不仅使学生由被动转变成主动,还减轻了老师的教学负担。

四 考核方式的改革

考核分为两部分:理论考试和实践考试,其中理论考试占30%,实践考试占70%。对学生专业知识能力的考核以笔试的形式进行;对学生实践动手能力的考核,参考制作过程的考查记录和学生作品。这种综合考核方式评价比较全面,更能体现高职教育培养目标。

数字电路设计知识范文第3篇

关键词:EDA技术,项目化教学方法,课程改革

 

EDA技术是以数字电子技术课程知识为基础,具有较强实践性、工程性的专业课程。将数字电路设计从简单元器件单元电路设计,EWB软件仿真提到了更高一级的可编程操作平台上,进一步巩固和提高学生电子电路综合设计能力。但是,传统的教学模式是将两门课程分开,先上数字电路,后上EDA技术,分两学期授课。这样的教学模式存在弊端,减弱了课程之间的联系,降低了学生对数字电路理论的认识程度。通过对EDA技术课程的教学改革,以实训的方式采用项目教学法,使学生在较短的时间内掌握EDA技术基础及其实验系统,从数字系统的单元电路,如译码器、计数器等入手,加深对数字电路基础理论的认识,逐渐完成数字系统设计。

1. EDA技术及其在教学中的应用

1.1 EDA技术

EDA技术即电子设计自动化(Electronic DesignAutomation)是以计算机为工作平台,融合了应用电子技术、计算机技术、信息处理及智能化技术的最新成果而形成的一门新技术毕业论文格式,是一种能够设计和仿真电子电路或系统的软件工具。采用”自顶向下”的层次化设计,对整个系统进行方案设计和功能划分,系统的关键电路用一片或几片专用集成电路(ASIC)实现,然后采用硬件描述语言(HDL)完成系统行为级设计,最后通过综合器和适配器生成最终的目标器件。图1为一个典型的EDA设计流程。

图1 EDA设计流程图

1.2 EDA技术在教学中的应用

在教学过程中,EDA技术利用计算机系统强大的数据处理能力,以及配有输入输出器件(开关、按键、数码管、发光二极管等)、标准并口、RS232串口、DAC和ADC电路、多功能扩展接口的基于SRAM的FPGA器件EDA硬件开发平台,使得在电子设计的各个阶段、各个层次可以进行模拟验证,保证设计过程的正确性。从而使数字系统设计起来更加容易,让学生从传统的电路离散元件的安装、焊接、调试工作中解放出来,将精力集中在电路的设计上。同时,采用EDA技术实现数字电路设计,不但提高了系统的稳定性,也增强了系统的灵活性,方便学生对电路进行修改、升级,让实验不在单调的局限于几个固定的内容,使教学更上一个台阶,学生的开发创新能力进一步得到提高。

2.课程教学改革实施

2.1课程改革思路

课程改革本着体现巩固数字电路基础,掌握现代电子设计自动化技术的原则来处理和安排EDA技术教学内容。打破传统的从EDA技术概述、VHDL语言特点、VHDL语句等入手的按部就班的教学方法,以设计应用为基本要求,开发基于工作过程的项目化课程,以工作任务为中心组织课程内容,让学生在完成具体项目的过程中来构建相关理论知识。将EDA技术分为四个方面的内容,即:可编程逻辑器件、硬件描述语言、软件开发工具、实验开发系统,其中,可编程逻辑器件是利用EDA技术进行电子系统设计的载体,硬件描述语言是利用EDA技术进行电子系统设计的主要表达手段,软件开发工具是利用EDA技术进行电子系统设计的智能化的自动设计工具,实验开发系统则是利用EDA技术进行电子系统设计的下载工具及硬件验证工具。采用项目化教学方法,以实训的方式展开,让学生在“学中做,做中学”。

2.2课程改革措施

以电子线路设计为基点,从实例的介绍中引出VHDL语句语法内容。在典型示例的说明中,自然地给出完整的VHDL描述,同时给出其综合后的表现该电路系统功能的时序波形图及硬件仿真效果。通过一些简单、直观、典型的实例毕业论文格式,将VHDL中最核心、最基本的内容解释清楚,使学生在很短的时间内就能有效地掌握VHDL的主干内容,并付诸设计实践。这种教学方法突破传统的VHDL语言教学模式和流程,将语言与EDA工程技术有机结合,以实现良好的教学效果,同时大大缩短了授课时数。表1为课程具体内容及实训学时分配。

 

能力

目标

学习情境

项目载体

课时

QuartusⅡ开发工具使用能力

QuartusⅡ开发环境、实验系统

二选一音频发生器设计

6

VHDL语言编程能力

VHDL语言基本结构

计数器电路设计

6

VHDL语言并行语句

8位加法器设计

8

VHDL语言顺序语句

7段数码显示译码器设计

8

VHDL语言综合运用

数控分频器的设计

8

层次化调用方法

4位加减法器的设计

4

综合开发调试能力

8位16进制频率计设计;

十字路通灯设计;

数字钟设计;

波形信号发生器设计,等。

(任选一题)

20

总计

 

数字电路设计知识范文第4篇

关键词:兴趣导向;数字电路设计;实验改革

作为计算机专业的第一门由本专业开设的硬件类实验课,数字电路设计实验在计算机专业的培养体系中起着极其重要的基础性作用。首先,它承接了大学物理、电路理论基础、模拟电子技术等先修公共基础课程;同时,它又是计算机组成原理、微型计算机接口技术等后续课程的基础。

我校计算机专业的数字电路实验自2004年起使用自主开发的微机硬件实验台,至今已有6年时间,历经了多个教学循环。本实验共24学时,分8次课,其中5次课为纯硬件实验,3次课为仿真实验。对于计算机专业的学生,本实验于大二下学期开设,在此之前,学生已有大学物理实验及模拟电路实验的基础,但对于实验设备的使用、电路的调试并不很熟悉,很多学生都是第一次见到一些电子元器件实物。因此,本门课程的实验起到了入门的作用,即通过数字电路设计实验,学生将基本掌握万用表、示波器等各种常用实验设备的使用方法,了解电子电路的调试步骤,学会排除实验当中遇到的各种故障。

正因为这门实验课有着如此的基础性地位,学生对这门课的重视程度就显得尤为重要。实验课不同于理论教学,实验的主体是学生,学生的参与热情直接决定了实验教学的效果。下面,笔者将就如何提高学生在实验当中的兴趣与大家进行探讨。

1现行实验模式效果的分析

目前,计算机专业的数字电路设计实验有着如下特点:内容饱满,课时紧张,对学生的预习工作和动手能力要求较高。由于数字电路设计的理论课所涵盖的知识范围非常广泛,相应地,数字电路实验的内容就要将其中的要点一一体现,这就导致每次实验课的项目都很多,部分同学无法按时完成实验操作。例如,在第一次实验当中,我们安排了7个小的实验项目,虽然难度不大,但对于第一次接触数字电路实验的学生来说,任务还是比较艰巨的。因为,在第一次实验课上,学生需要熟悉实验设备,需要回忆万用表和示波器的使用方法,需要了解74系列芯片的管脚分布,这些就要占用相当长的时间,如果调试中再遇到一些故障,或是预习不充分的话,就无法在当堂课上完成全部的实验任务;即使完成了,也都比较匆忙,根本谈不上体会这些实验的目的,实验现象与理论间的关系。这样一连几次课下来,高强度的实验训练使得学生的动手能力显著增强,但是验证理论、分析现象,尤其是体会过程,这些实验课开设的初衷并没有完全实现。更值得注意的是,这会导致学生对实验课产生倦怠感,甚至会影响学生做实验的热情与兴趣。

2增强学生实验兴趣的探索

学生的学习效果一直是教学当中的重中之重,因此,本实验中心对于提高学生兴趣、让学生以更大的热情参与实验作出积极的探索,并将一些想法逐步付诸实践。

1) 改善实验条件,降低门槛。

本中心通过自制实验台、改造实验台等方式为学生的实验操作提供了方便,使得实验设备的可靠性大大提高;同时,在实验课前对设备、仪表等进行测试,并通过仪器逐个检测实验用芯片是否完好,大大降低了在实验中设备环节出现故障的可能性,从而让学生能够把更多的精力专注于实验本身。

2) 结合实际应用,提高兴趣。

例如,在三态门的应用实验当中,将三态门与数据总线结合起来,使得学生能够了解到这一电路在实际当中的作用。

3) 强调预习作用,避免机械操作。

虽然我校的每次实验课时间为2.5小时,远多于理论课的1.75小时,但因为总的实验学时有限,数字电路课程中大量的实验内容被压缩到有限的实验课时中,其结果便是几乎每次实验课的时间都很紧张。要想在有限的实验时间中取得良好的效果,预习工作就一定要做好。首先,要充分掌握课堂上所学的理论知识;其次,要通过课前阅读实验指导书充分了解本次实验的内容,对设计性实验,应在课前完成电路的设计,将课上有限的时间用于电路的搭建与调试,以充分利用实验室的资源。这样,学生才能做到知其然并知其所以然,看到表象背后的原理,而不是机械的操作。

4) 学会排除故障,增强信心。

在实验过程中,学生不可避免地会遇到种种问题,导致实验结果出错。究其原因,既有可能是主观上电路设计或连线过程中出现的问题,也有可能是实验设备或实验器材出现了故障。在实验课堂上,让学生来修复设备的故障是不现实的,但是找到故障点,发现问题之所在,并通过更换实验器材等方法来解决问题,这应该是学生在实验课上所应掌握的技巧。因为实验课既是对课堂理论的一种验证,又是对现实工作环境的一次模拟。在未来的实际工作中,学生将会遇到各种各样的问题,而实验课正是锻炼如何解决这些问题的好机会。一旦学生掌握了排除故障的方法,独立解决了问题,他们就会很有成就感,甚至就此对排除故障产生了浓厚的兴趣。因此,我们在尽力减少实验中因客观原因造成问题的同时,也应该向学生讲明排除故障的必要性,并引导其对独立解决各种疑难问题的兴趣,增强其信心,令其克服畏难情绪[1]。

5) 安排创新实验,拓展实验范围。

通过理论课教师与实验中心的合作,充分利用实验室现有资源,为有兴趣做数字电路类创新实验的学生提供实验条件,并给予相应的指导。例如,在大一学生中开展的“年度创新计划”中安排了密码锁、自行车里程表等简单而有趣的小项目,供感兴趣的学生自由选择,使得同学们在没有开始数字电路学习时就能够对本课程的实验有一个感性的认识,并发现其有趣之处,进而对今后的实验充满了期待。

3今后实验进一步改革的构想

随着我校新一轮专业培养计划的制定,数字电路设计实验也迎来了又一次改革发展的契机。我们认为,在新的实验课程设置方面,应进一步强调对学生创新能力的培养,而在这一进程中,对学生的兴趣引导被摆在了突出的位置上。基于兴趣导向的改革思路主要体现在如下几个方面。

1) 引进新型设备,进一步降低门槛。

本中心计划引进新的实验设备,在增加了EDA实验项目的同时,将原有的面包板接线方式改为固定插孔式接线,大大提高了连线的可靠性,降低了设备操作上的难度,使得学生能以较快的速度熟悉实验台,不至于在实验伊始就产生畏难情绪。同时,可以将实验设备和仪器仪表的使用方法及操作过程拍摄下来,制成视频片断并存放在实验中心的网站上,供学生在课前下载观看,以利于其提前了解实验操作。

2) 设置演示实验,激发学生兴趣。

让学生能够看到实验的结果,能够知道数字电路具体的应用。展示给学生的可以是一些常见的电子产品,也可以是创新实验中学生的成果。

3) 更新实验内容,增加其趣味性。

芯片内部的电路是不可见的,芯片引脚的电平也无法直接观察到。如果能设计一些直观的实验效果,肯定会比让学生查看仪表的读数更令其感兴趣。可以设置一些有趣的实验项目(如电子琴、数字钟等),充分利用实验台上的各种资源,产生各种声光效果,让实验的过程和结果都变得生动有趣。

数字电路设计知识范文第5篇

关键词:教学改革;实验;数字逻辑电路;计算机专业

中图分类号:G642 文献标识码:A 文章编号:1009-3044(2013)29-6570-02

数字逻辑电路实验课程是电气、电子信息类和部分非电类专业本科生在电子技术方面入门性质的技术课。它在电类专业中深受青睐,但在非电类专业中的教学没引起足够的重视。长期以来,在我校计算机专业类数字逻辑电路实验的实验教学中,出现实验教师难教学生厌学的现象。我们从学生学习该课程的现状着手,通过对该课程的先导课程及后续课程进行调查分析,了解相关理论课学习的状态,并据此提出了相应的实验教学改革措施,分三个阶段对学生的学习能力及动手能力进行培养,我们称之为数字逻辑电路实验课程“过三关”[1]。

1 数字逻辑电路实验的教学改革思路

数字逻辑电路实验在计算机类专业都把它作为一门主干必修课程,但相比专业课来说,非电类专业对该课程地位认识和重视程度是不一样的,普遍存在的一种现象是“重软件轻硬件”[2]。我校计科专业、网工专业的“数字逻辑电路实验”课,安排在第三学期,并具有第二学期的“模拟电子技术”课程的基础。而软工专业的“数电”课安排在第二学期,并没有提前开设“模电”课程,缺乏电路知识的先导。在总课时数压缩的情况下,由于理论课和实验课安排在同一学期,并在第一周同时开课,实验课严重滞后于理论课的进度,造成学生想要学好又觉得心有余而力不足[3]。

第一关:克服对数字电路实验课的心理恐惧关

对计算机专业的学生来说,模拟电子技术和数字逻辑电路都很难学,更难于精。适合计算机专业的专用教材很少,更没有比较适合的实验教材。不得已沿用电类专业的教材,理论偏多偏深。单纯的数字逻辑分析抽象、枯燥、乏味,遇到复杂的逻辑现象更容易让人感到无从下手,产生畏难情绪。例如:教材[4][5]的第二章逻辑门电路,是学生们共同认为最难于理解、头疼困难的内容。在讲解TTL(Transistor-Transistor Logic)基本逻辑门涉及到很多的电路基础知识、基本电路元件(电阻、二极管、三极管等元件)、电路及结构、半导体工艺、以及它们的电流、电压、元件参数等内部电气参数的计算等。对电路原理的理解和对电子元器件认识存在困难。然而,计算机专业学习的重点并不在这些电路的内部原理和前端设计,实验所必需的电路基础知识在课程中的应用暂时不用十分深入,可以不用刻意去理解逻辑器件的内部结构。重点应放在:一是掌握器件输入和输出之间的逻辑功能;二是外部的电气特性其主要参数。相应的基本门电路实验,目的包括掌握TTL基本逻辑门的逻辑功能验证与参数测试;掌握TTL器件的使用规则;进一步熟悉数字逻辑电路实验装置的结构、基本功能和使用方法。“轻里重外”,将集成电路视为“黑匣子”,这样电路基础知识不再构成计算机专业的学生学习的障碍。

在实验教学中,改善实验条件,增强实验教学的趣味性。让生活走进实验、贴近生活。理论实验化,实验生活化。例如: 逻辑门实验是认识数字电路的基本实验,电子门铃的原理就是利用与非门构成振荡器,使输出端的铃声信号输出,从而驱动喇叭发出闹铃声的。除此之外,实验还能进行趣味游戏如乒乓球游戏机等的设计。通过增加实验内容、改变实验方法,多做实验来改变学生怕做实验的恐惧心理。

根据现在的理论课学时、教学计划和实验设备,改编有关内容。以“与非门”逻辑为例说明改革实验教学方法。采用先理论讲解,以逻辑代数为基本数学工具,从基本逻辑门电路入手。实验使用传统标准数字逻辑器件四2输入与非门74LS00,,用它构成传统的与非门验证实验。再用硬件描述语言VHDL(Very-High-Speed Integrated Circuit Hardware Description Language)[6]和复杂可编程逻辑器件CPLD(Complex Programmable Logic Device) [7]实验实现“与非门”逻辑。这样就建立了同一实际逻辑问题用多种不同层次方法进行实验的模式:数字逻辑单元理论设计,以门电路为基本单元电路构成各种组合逻辑电路和时序逻辑电路,使用标准数字逻辑器件中的中(MSI)、小规模(SSI)的TTL集成电路验证;利用通用集成电路模块产品,主要是用中(MSI)大规模(LSI)集成电路模块,构成预定功能的逻辑电路;再用VHDL和CPLD构成复杂的电路系统,步步推进,穿行融合。

第二关:培养动手能力关

从数字逻辑电路实验课程的知识结构和特点分析,数字逻辑电路实验主要由基本逻辑门电路,由门电路组成的基本组合逻辑电路和时序逻辑电路及通用集成电路模块构成。

在第一阶段为数字逻辑电路基础实验(芯片级实验)。由“一门而入”,选用传统典型标准数字逻辑器件与非门,进行基本门电路逻辑功能测试与验证,通过实验使学生熟练掌握数字电路实验箱的结构和使用方法,使用示波器记录描述逻辑功能的波形图,实验基本仪器测试集成电路外部电气特性参数。掌握用与非门组成其它逻辑门及逻辑门之间的互换、解决不同门电路之间相互连接匹配问题。对集成门电路外形建立感性认识,熟悉芯片的外形封装、芯片的引脚数量和分布情况。通过基础实验,训练了学生的数字逻辑设计的基本功,为综合设计性实验打下良好的基础。

第二阶段为综合设计实验(单元级实验)。主要有基本技能测试性综合实验、组合电路设计性综合实验、时序电路设计性综合实验、存储器和D/A或A/D转换电路的综合实验。

综合设计性实验主要是小系统逻辑设计实验[8],每一个实验系统可以由多片标准数字逻辑器件MSI、MSI的门电路组成。也可以用通用集成电路中的MSI、LSI的TTL集成电路芯片组成。实验者可根据自己的设计做出不同种类的电路,培养对单元功能电路的理解和灵活运用能力。例在传统数字逻辑电路实验中,最为经典的例子是“三人表决器实验电路的设计” [9]。其中SSI门电路设计最为灵活,可以选择一种与非门构成“与非-与非式”、一种或非门构成“或非-或非式”、与非门+或非门构成“与或非式”。也可以采用通用集成电路模块译码器、数据选择器和加法器分别设计多种三人表决器实验电路。

第三关:VHDL及CPLD实验提高复杂电路设计能力关

从第一、第二阶段实验的效果来看,这些实验是在掌握SSI、MSI电路分析和设计的基础上进行,达到预定的逻辑功能。这种方法设计的逻辑系统规模不宜太大,否则,系统需要很多芯片,连接线和接点复杂,导致可靠性下降、功耗增加,系统占用空间扩大。为此,可以采用大规模集成和超大规模集成技术,把完成复杂功能的众多芯片集成到一个芯片内。可以克服上述问题。这种能够完成特定功能的集成电路芯片称之为专用集成电路。用VHDL语言设计后,在CPLD中实现,这已经成为数字系统设计的主流。

将新技术和新型电路设计的方法充实到教学中去,以体现实验与时俱进的先进性。第三阶段的可编程器件的应用与可编程电路的EDA设计实验(系统级实验),要求学生用CPLD芯片重现第一阶段的基础实验和第二阶段综合设计性实验中的电路设计。训练学生通过阅读资料掌握可编程器件的功能及规范的使用方法。掌握EDA软件的使用方法和设计语言。最终达到“了解一种器件,熟练使用一种设计工具,掌握一门设计语言,能够设计较复杂的数字系统”的目的。

通过三个不同阶段的实验过程,将一种数字逻辑器件的基础理论,用传统器件实验验证或实现,再用VHDL及CPLD实验复现,三者融合循环,螺旋式上升。实现数字逻辑电路实验的教学改革,帮助学生突破在学习道路上的三道难关。

2 结论

侯建军教授提出了“厚理博术,知行相成”的教育理念。通过数字逻辑电路实验,既要加强知识的学习,又要践行所学的知识,提高实践动手能力和创新能力。根据学生的特点确定教学目标,组织教学内容,制定教学方法,以学生为主体,“教法”适应“学法”培养学生的学习兴趣。倡导以启发、探索和创新性实验为核心的研究式学习方式,鼓励学生参与国家级和校级的大学生创新创业项目,并参加各种国家电子技能大赛,取得很好的效果。

参考文献:

[1] .“模拟电子线路”的“过三关”——谈“模拟电子线路”教与学[J].电气电子教学学报,2002(11).

[2] 杨汉祥.数字电路课程交叉知识的教学研讨与实践[J].赣南师范学院学报,2005(6).

[3] 管冰蕾,胡家芬.计算机专业《数字逻辑》课程教学改革的研究[J].时代教育:教育教学版,2009(3).

[4] 侯建军.数字电子技术基础[M].2版.北京:高等教育出版社,2009.

[5] 侯建军.电子技术基础实验综合设计实验与课程设计[M].北京:高等教育出版社,2009.

[6] Volnei A Pedroni.VHDL数字电路设计教程[M].北京:电子工业出版社,2013.

[7] 王诚,赵延宾,梁成志.Lattice FPGA/CPLD设计(基础篇)[M].北京:人民邮电出版社,2011.

数字电路设计知识范文第6篇

关键词:数字电路;故障;诊断;排除

中图分类号:TN791 文献标识码:A 文章编号:1674-7712 (2013) 08-0000-02

电路故障是电路的异常工作状态。因为所有的电子元器件都有一个可靠性及工作寿命问题,故出现故障的情况是难免的。因此,每一个电子技术人员应掌握一定的故障分析诊断、查找定位及排除的方法。在进行数字电路故障诊断之前,应该做好两方面的准备工作。首先是知识的准备,必须对数字电路的常用电路类型及相应的工作原理有充分的了解,对其常用的元器件的工作原理及外观、性能等要熟悉,并要掌握数字电路故障诊断的方法和步骤;其次是工具的准备,各种常用的工具和仪器仪表如万用表、逻辑表、示波器、电烙铁、吸锡器等,并掌握其性能及使用方法。

一、数字电路故障分类

数字电路的故障因其产生原因不同,可以分成若干类。

(一)由元器件引起的故障电路中的电阻、电容、电感、晶体管、集成电路等元器件由于质量问题或使用时间过长而导致性能下降甚至损坏变质,电容、变压器的绝缘层击穿等问题最终都将导致该故障元器件失效。这一类故障原因常使电路出现如振荡电路无输出信号、数字逻辑电路有输入信号却没有输出信号的故障现象。

(二)因接触不良而引起的故障电路中的各种接插件接触不牢靠,焊接点的虚焊,开关、电位器接触不良,空气中的有害成分造成的印制电路板或连接线的氧化、腐蚀以及外力冲击造成的机械性损坏等都有可能引起接触不良故障。这类故障现象大多是电路完全不工作或间歇性地停止工作。

(三)人为原因引起的故障。在安装的过程中,元器件的错焊、漏焊,元器件的错误选择,连接线的错接、漏接、多接。在调试的过程中,由于粗心引起的短路或碰撞造成的损坏等,都是由于操作者自身的原因引起的人为故障。此类故障的表现形式往往多种多样,上面提到的各种故障现象都有可能表现出来。

(四)各种干扰引起的故障。数字电路在使用过程中往往会受到一些外界因素的于扰,从而造成电路工作的不稳定。这一类的干扰原因多是以下几类:

(1)直流电源质量较差。数字电路使用的直流电源一般都是由交流电经整流、滤波、稳压得到的。若滤波效果不佳则会在直流成分上叠加上一定的纹波电压,这种纹波电压经某种途径窜入信号电路就会形成交流干扰。

(2)感应和耦合产生干扰。电路连线及其中的电阻、电容等元件之间均存在一定的分布电感和分布电容,这些分布元件的存在使得电路很容易受到外界的放电设备、高频设备等的干扰,导致电路产生寄生振荡,在无输入信号时使组合电路产生一些杂乱输出或使时序电路发生一些错误的状态变化。

(3)电路设计不当产生的干扰。电路设计不当如接地点的阻抗过大、位置不合理等原因均会导致干扰。由各种干扰引起的故障主要表现为输出不稳定或逻辑关系不正确、输出数码显示错误或不显示等。产生故障的原因很多,上述所列的只是一些常见现象。故障发生的情况也很复杂,有的是一种原因引起的简单故障,有的是多种原因相互影响而引起的复合故障。这就需要在掌握一定的故障检测与定位方法的基础上逐步提高排除故障的能力。

二、数字电路常见故障现象

比较常见的故障现象主要有以下几种:

(1)振荡电路无输出信号。

(2)有输入信号却没有输出信号。

(3)电路完全不工作或间歇性地停止工作。

(4)虽然有输出信号,但逻辑关系混乱。

(5)输出不稳定或逻辑关系不正确。

(6)输出数码显示错误或不显示等。

三、数字电路常见故障的检测与定位

数字电路故障的检测与定位指的是:当电路发生故障时,根据故障现象,通过检查、测量与分析查找故障的原因并确定故障的部位,找到发生故障的元器件的过程。一般比较简单的电路,其故障原因往往也比较简单,故障的检测与定位较容易;而较为复杂的电路,其故障往往也较复杂,故障原因的检测与定位相对也就要困难一些。故障的检测与定位是排除故障必需的步骤,必须掌握一定的方法。故障检测与定位的方法很多,实际应用中应根据具体的故障现象、电路的复杂程度、可使用的仪器设备等情况综合考虑使用,并根据电路的原理及实际的经验进行综合判断。这是一项需要积累一定经验才能较好地完成的工作。下面讨论常用的电路故障检测与定位的方法。

(一)直接观察法。所谓直接观察法是指不借助任何的仪器设备,直接观察待查电路的表面来发现问题、寻找故障的方法,一般为静态观察和通电检查两种。其中的静态观察包括:

(1)首先观察电路板及元器件表面是否有烧焦的印迹,连线及元器件是否有脱落、断裂等现象发生。

(2)观察仪器使用情况。仪器类型选择是否合适,功能、量程的选用有无差错,共地连接的处理是否妥善等。首先排除外部故障,再进行电路本身的观察。

(3)观察电路供电情况。电源的电压值和极性是否符合要求,电源是否确实接人了电路等。

(4)观察元器件安装情况。电解电容的极性、二极管和三极管的引线端子、集成电路的引线端子有无错接、漏接、互碰等情况,安装位置是否合理,对干扰源有无屏蔽措施等。

(5)观察布线情况:输入和输出线、强电和弱电线、交流和直流线等是否违反布线原则。静态观察后可进行通电检查。接通电源后,观察元器件有无发烫、冒烟等情况,变压器有无焦味或发热及异常声响。直接观察法适用于对故障进行初步检查,可以发现一些较明显的故障。

(二)参数测试法。参数测试法是借助于仪器来发现问题、寻找故障部位的方法。这种方法可分为断电测试法和带电测试法两种。断电测试法是在电路断电条件下,利用万用表欧姆挡测量电路或元器件电阻值,借以判断故障的方法,如检查电路中连线、焊点及熔丝等是否断路,测试电阻值、电容器漏电、电感器哟通断,检查半导体器件的好坏等。测试时,为了避免相关支路的影响,被测元器件的一端必须与电路断开。同时,为了保护元器件,一般不使用高阻挡和低阻挡,以防止高电压或大电流损坏电路中半导体器件的PN结。带电测试法是在电路带电条件下,借助于仪器测量电路中各点静态电压值或电压波形、支路电流等,进行理论分析,寻找故障所在部位的方法,如检查晶体管静态工作点是否正常,集成器件的静态参数是否符合要求,数字电路的逻辑关系是否正确等。

(三)信号注入法。信号注入法是根据需要在电路输入端加入一个符合要求的信号,按照信号的流程从前级到后级,用示波器或电压表等仪器逐级检查信号在电路内各部分之间传输的情况,分析电路的功能是否正常,从而判断故障所在部位的方法。检测时也可以从输出级向输入级倒推进行,信号从最后一级电路的输入端加入,观察输出端是否正常,然后逐级将信号加入前面一级电路输入端,继续进行检查。注意,只有在电路静态工作点处于正常的条件下,才能使用这种方法。

(四)分割测试法。对于有故障的复杂电路,为了减少测试的工作量,可将电路分成几部分,先找出有故障的部分,然后对有故障的部分再进行对分检测,一直到找到故障点为止。

(五)断开反馈线检查法。对于一些有反馈的环形电路,如振荡器、稳压器等电路,它们各级的工作情况互相有牵连,这时可以采用分割环路的方法,将反馈环去掉,然后逐级检查,可以更快地查出故障部位,对自激振荡现象也可以用这种方法检查。

(六)对比法。怀疑某一电路存在问题时,可找一个相同的正常电路进行比对,将两者状态、参数进行逐项对比,很快就可以找到电路中不正常的参数,进而分析出故障原因并查找到故障点。

(七)替换法。有时故障比较隐蔽,不能很快找到,需做进一步的检查,这时可用已调试好的单元电路或组件代替有疑问的单元电路,以此来判断故障是否在此单元电路。当确定有问题的单元电路时,还可以在该单元电路中采用局部替代法,用确认良好的元器件将怀疑有问题的元器件替换下来。逐步缩小故障的嫌疑范围,最终找到故障点。

四、数字电路常见故障的排除方法

数字电路的故障类型较多,产生的原因也各不相同,因此排除的方法也不一样。

(1)对由元器件引起的故障,当确认了故障元器件之后,只需将故障元器件用新的元器件代替即可。

(2)因接触不良而引起的故障,当找到了故障点之后,重新进行焊接安装或更换接触不好的开关或接插件即可排除故障。

(3)人为原因引起的故障,由于其故障原因缺乏规律性,查找故障点相对困难些。但其出现的对象较有规律性,一般是在新手安装、调试或维修的电路上出现,且是在安装、调试或维修之后就没有正常工作过。当出现这样的现象时就可以初步判定是人为原因引起的故障。此类故障一般使用直接观察法就可以找到故障原因,但需要特别的仔细,需对照电路图耐心地逐个检查元器件连接线、接插件等,直到找到故障原因,及时处理。

(4)对各种干扰引起的故障,要分清原因,根据不同的干扰源,采用不同的对策。

1)直流电源质量不佳产生的干扰,可采用纹波电压小的稳压电源供电或引入滤波电路。

2)感应和耦合产生的干扰,针对感应或耦合产生的原因,可分别采用屏蔽、改变布局关系、改变走线方法、合理选择接地点或增加补偿网络等方法排除。

3)电路设计不当产生的干扰,对此类故障需进行认真的研究和实验来验证,如确认是电路设计不当产生的干扰,就应该修改电路设计,将干扰排除。

参考文献:

[1]马宇飞,罗永芳.数字电路故障诊断及维修方法研究[J].科技资讯,2010,9.

[2]谢涛,何怡刚,侯玉宝.模拟数字电路故障诊断新方法[J].半导体技术,2007,7.

数字电路设计知识范文第7篇

关键词:脉冲与数字电路;实践教学;教学内容;教学方法

中图分类号:G642.0 文献标志码:A 文章编号:1674-9324(2014)10-0019-02

一、引言

《脉冲与数字电路》是电子信息、通信、计算机控制等专业的一门重要的专业基础课,也是面向我院很多专业的一门基础课。随着“数字时代”的到来,该课程的作用与地位与日俱增。这不仅因为其理论知识在工科专业中占有重要的基础性地位,更重要的这是一门理论联系实际非常紧密的课程,通过本课程的学习,使学生掌握课程的基本理论、基本知识和基本技能,为深入学习后续课程并与有关专业的结合打好基础。

二、教学体系和教学内容改革

调整脉冲与数字电路课程的授课学期,建立层次化、模块化的教学体系,对课程重新进行整合。整合后的脉冲与数字课程包括:“理论体系”、“实验设计”、“电路仿真”以及“课程设计”。调整了实验课程的教学内容,在教学内容和教学手段等方面进行了改革,进一步精简小规模器件和传统设计方法的介绍,加强现代设计方法的讨论,提高了“脉冲与数字电路”课程的教学水平,使学生了解、掌握数字电子技术发展的最新知识。注重将课堂教学向课外延伸,鼓励学生通过课堂学习掌握数字电路设计理论知识,在创新实验室自行开展创新活动,学生通过理论与实际应用的结合,提高了自身的实践和创新能力。依托综合性大学文化优势,以大学生科技活动延伸课堂教育,积极开展大学生科技创新活动。学生通过课堂学习掌握的数字电路设计理论知识,在电子创新实验室开发小制作等,通过理论与实际的结合,提高了自身的实践能力和创新。

1.紧跟数字电子技术的发展,适时引进新的教学内容。(1)“教、学、做相结合”的教学方法。教学中每章都从最基本的应用实例出发,由实际问题入手通过技能训练引入相关知识和理论,由实训引出相关概念及相关电路。(2)“循环式”教学方法。通过实训得到感性认识、定性认识。在简单电路的基础上通过逐步完善的方式一步一步组合成复杂系统,通过对基本电路的多次重复,以循环向上的方式达到更高的程度。(3)“支架式”教学方法。将知识点分成基本逻辑器件、基本逻辑器件构成的数字电路、基本数字电路构成的简单数字电路应用系统等模块,每个模块处于不同的层次,只有掌握了前面的模块,才能学习后面的模块,就像攀登支架一样。

2.多种教学手段相结合的教学方法。针对这门课程的教学特点,在授课过程中采用课堂教学、实验教学相互交叉融合的教学结构;制作《脉冲与数字电路》电子课件,利用多媒体等现代化教学手段教学;准备建立《脉冲与数字电路》课程学习网站,充分利用网络进行辅助教学。实践教学环节所占比例高,实践环节改革力度大。增加了软件仿真环节,在教学内容上更加注意体现现代技术要求的背景知识的教学特色,将最新的知识与技术纳入教学内容,同时将科研和教学改革中与教学内容相关的成果引入教学过程中,通过理论与实际的结合,进一步提高学生的实践能力和创新精神。

3.全新的考试方式。期末考试采用纯理论试卷考试,占60%的成绩;平时采用阶段考试和实验考核结合的方式,分别给出成绩,结合平时的出勤、作业、实验、课堂表现等方面给出40%的平时成绩。

三、教学方法和教学手段的改革

在教学方法上,改变传统的“满堂灌”的教学模式,倡导并采用研究型学习。如针对“计算机辅助逻辑化简”、“计算机辅助状态化简”等专题让学生查阅资料、撰写小论文,开发计算机辅助设计软件。并让学生走上讲台介绍各自的研究结果,锻炼了学生的综合素质,取得了很好的效果。为了使学生学习、了解器件的基本知识和使用方法,根据设计要求,自己到市场选择器件,使学生在实际工作中了解器件的价格,掌握器件选购的方法和注意事项,为今后走向社会打好基础。教师注重将科研成果转化成本科教学的内容,一方面体现在编写的教材中,另一方面将自己的科研成果、工程设计方法和经验融入课堂教学中。如各种集成电路的特点、选择方法以及正确使用方法等,如何根据集成电路的带负载能力,在满足应用需求的条件下,正确选择拉电流负载或灌电流负载以及采取必要的驱动方式。这种理论结合实际的教学内容,充分调动了学生的学习兴趣和积极性,培养了学生解决实际问题的能力,取得了非常好的效果。注重将课堂教学向课外延伸。以大学生科技活动延伸课堂教育,积极开展大学生科技创新活动。学生通过课堂学习掌握的数字电路设计理论知识,通过理论与实际的结合,提高了自身的实践能力和创新。

随着科学技术的发展,新的教学手段必须体现在整个课程的教学环节中。本课程的特点是内容丰富、图表较多,传统的课堂教学有一定的困难,而采用多媒体技术将复杂的图表直观、形象地展示出来,不仅便于教师的讲解和学生的学习,而且还将大大增加课堂的信息量,解决课时少、内容多的矛盾。但是课堂教学不能完全依靠多媒体课件,从调动学生思维、加强师生互动和循序渐进讲解以便学生理解等方面考虑,目前“脉冲与数字电路”课程中主要采用了PowerPoint课件和板书相结合的方法,可以相互取长补短,效果较好。利用脉冲与数字电路课程网站,将教学内容制作成视频资源、课件等资源链接等放在网络平台上,充分发挥网络突破空间、距离限制的优势,让学生能够最大限度地利用学习资源,自主地学习和提高,弥补课堂上未能及时消化吸收的部分内容。

四、实践教学内容和方法的改革

在传统的教学理念中,长期存在着重视理论教学而轻视实践教育的问题。教师只注重对学生理论知识的讲授与辅导,相对忽视了理论知识在实践中的应用,这导致学生的实际动手能力普遍偏低。此外,大多数的实验教学都是作为理论教学的补充,并不单独设课,而且试验内容往往都是验证性的,虽然能够使学生加深对理论知识的理解,但是会在一定程度上造成学生的思维局限于相应的理论课程。从总体教学计划上来说,相对于理论教学实验学时相对较少,这让学生没有足够的时间消化实验老师讲解的内容,只能被动地按照要求完成实验任务,而不能有效利用做实验的机会进行实践能力的培养。

1.改进实验教学方法,提高教学效率。在实验教学方式上,要改变传统的实验教学方式,采用多样化的实验课程组织方式。在实验课上教师可以只对实验原理、实验内容进行适当的讲解,对稍微复杂的实验进行现场演示,给学生更大的自由发挥的空间。这样学生会根据老师布置的实验任务,积极开动脑筋,尝试采用不同的试验方法来解决问题,从而达到实践教学的目的。根据电子信息产业发展新的形势,逐步改革传统的实验教学方法,充分利用多媒体等现代化教学手段于实验教学中。完善实验教学资源共享的网络系统、实验室网络管理化系统和实验教学指导与监控系统,学生在做实验之前可通过网络系统对实验进行预习,熟悉仪器工作原理和使用方法,从而充分利用实验课堂时间,提高实验教学的效率和教学水平。

2.提高学生学习的积极性。探索实验教学的新型模式,建立“以人为本”的实验教学方式,通过实验设备的更新、实验内容的改革,增加实验室对学生的吸引力,充分调动学生参与实践的积极性。改变实验教学方法,变以老师为中心的实验教学方式为教师与学生、学生与学生平等开放的教学方式,提高学生做实验的兴趣。鼓励学生认真对待电子信息类专业中的每一个基础的和专业的实验,把那种“质疑一切,一丝不苟,勇于探索,努力学习,大胆动手”的精神,贯穿于每一个实验之中。循序渐进,通过由简单到复杂、由一般到个别的实验训练,达到理论联系实际的目的。学生在做完基本的实验项目后,在学有余力的前提下,可以根据个人的兴趣和爱好,选择参加一些层次较高的具有综合性和研究性的开放性实验。这类实验教学的内容大多是来源于具体的横向的项目或纵向的科学研究与技术革新课题,具有先进性、综合性和科研性。教师在进行实验指导时,应发挥学生在学习中的主体作用,积极调动学生的积极性,培养学生勇于探索、敢于实践的进取精神。同时要鼓励学生以市场为导向,寻找科研开发群体,运用集体的智慧和力量,进行创造性实验,使学生获得发挥创造才能的机会。这种开放式的实验教学方法,是培养学生创新能力,拓宽学生知识面,增长学生动手能力的有效途径。

五、结论

通过以上各项改革,提高了学生分析问题、综合问题、解决问题的能力,既满足学生对知识的渴求,又培养了独立探索、富于创新、勇于开拓的精神;具有生动、形象、操作性强的特点;加强了现代设计方法的讲授和讨论;注重将新技术和教师的最新科研成果、工程设计方法和经验融入课堂教学中,充分调动了学生的学习兴趣和积极性;注重将课堂教学向课外延伸,积极开展大学生科技创新活动;通过理论与实际的结合,进一步提高了学生的实践能力和创新精神。

参考文献:

[1]李晓辉,许先番.电子信息科学与工程类专业学生创新能力培养的实践与探索[D].2008年全国高等学校电子信息科学与工程类专业教学协作会议论文集,北京邮电大学出版社,2008.

数字电路设计知识范文第8篇

关键词: 数字电路 《组合逻辑电路设计》 教学方案

组合逻辑电路是数字电路中的一个重要内容,分为组合逻辑电路分析和设计两大块内容。组合逻辑电路分析是根据已知电路确定其所实现的逻辑功能,而组合逻辑电路设计是根据实际问题所要求达到的逻辑功能,求出实现该功能的最简逻辑电路图,两者是相逆的过程。其中,组合逻辑电路设计在教材中起着承前启后的作用,既是对前面所学的逻辑门电路、真值表、逻辑表达式和逻辑代数等知识的综合应用,又为后续编码器、译码器等中规模组合逻辑电路的学习奠定基础,掌握这节内容是学好数字电路的重要一环,对培养学生正确的逻辑思维能力,提高分析问题和解决问题的能力都有十分重要的作用。要想上好这一部分内容,精心设计教学方案是前提条件,我通过几年的电路教学,总结出了该课题的教学方案,现与大家一起分享。

一、引入课题

通过复习组合逻辑电路的分析过程(根据电路写出各输出端的逻辑表达式化简和变换逻辑表达式列出真值表得到逻辑功能),我引导学生进行逆向思维,并提出问题:“当遇到实际的逻辑问题应如何解决?”让学生推导出解决该逻辑问题的步骤,发现其实它就是组合逻辑电路分析的逆过程,从而引出本节课的课题――组合逻辑电路的设计。

二、新课讲授

1.举例:利用基本门电路实现一个三位判奇电路。

解题过程:(1)分析题目:根据题目要求确定输入情况和输出情况,本题中用A、B、C作为输入变量,用F作为输出变量。当三个变量中有奇数个变量为1时,输出为1。否则,输出为0。

(2)列真值表

((4)根据逻辑表达式画出电路图

2.通过学习上面例题的解题过程,布置一道类似的题目:设计一个四位判偶电路,请学生完成,并请2位学生上黑板解题。

3.请学生观察刚才两道题目的解题过程,发现两者的解题思路是一样的,从而归纳出组合逻辑电路设计的步骤。

(1)分析题目的逻辑关系,列出真值表。

从实际问题抽象出电路的输入输出,建立输入和输出之间的逻辑关系,并正确地列出真值表,是组合逻辑电路设计的关键,它的正确与否直接关系着设计的正确与否。一般把引起事件的原因作为输入变量,把事件的结果作为输出变量,再以二值逻辑的0、1两种状态分别代表变量的两种不同状态,并根据给定的因果关系列出真值表。在教学过程中,教师可以采用多媒体手段,通过形象的比喻、生动的画面吸引学生的注意力,帮助学生理解题目。

(2)根据真值表写出逻辑函数表达式。

具体方法为:①由真值表中找出使逻辑函数输出为1的对应输入变量取值组合;②每个输入变量取值组合状态以逻辑乘形式表示,用原变量表示变量取值1,用反变量表示变量取值0;③将所有使输出为1的输入变量取值逻辑乘进行逻辑加,即得到逻辑函数表达式。

(3)化简表达式。

为了使逻辑电路中包含的逻辑门最少且连线最少,要对逻辑表达式进行化简,求出描述实际问题的最简表达式。一般采用逻辑代数公式或卡诺图进行化简,但当逻辑表达式较复杂时,采用卡诺图化简更快更简单,且出错率低。

(4)根据题目要求画出逻辑电路图。

根据简化后的逻辑表达式,结合题目的具体要求,如果对所用逻辑门电路有一定限制,就需把表达式变换为与所选门对应的形式,最后选择合适的门电路替换表达式中的运算符号,即可画出逻辑图。

4.分析刚才的例题,电路要求由三种基本逻辑门电路组成,请同学们思考一个问题:能否用其他学过的门电路实现它们的逻辑功能呢?我让学生分组讨论,激发学习兴趣,提高分析问题、归纳总结问题的能力,同时培养创新能力,最后每组推选一名代表起来作总结。我针对三组讨论的结果进行小结。可以发现例题的表达式可以写成:F=ABC,从而得到如下的电路图。

从上面两个电路图可以看出,组合逻辑电路的设计不是唯一的,利用不同的元器件可以设计出完全不同的电路图。那么如何才能得到最简单最实用的电路呢?在组合逻辑电路设计时它有一个标准,利用SSI电路进行设计时,最简的标准是所有门电路的数目最少,输入端数目也最少;利用MSI电路进行设计时,最简的标准是MIS电路集成块的个数最少、品种最小、连线也最少。只有了解了这个标准,学生在设计电路时才能得到最佳电路。

三、课题总结

1.组合逻辑电路设计的步骤。

分析题目列真值表写逻辑表达式化简表达式画出逻辑电路图。

2.组合逻辑电路的设计不是唯一的,学生在设计时应该根据标准得到最佳电路。

上述就是组合逻辑电路设计的整个教学方案,当然其中还有很多不足之处,还需不断改进和完善。教学方案设计的质量是提高课堂教学质量的第一关,设计出高质量的教学方案,是广大教师一生追逐的目标。

参考文献:

[1]阎石.数字电子电路.中央广播电视大学出版社.