首页 > 范文大全 > 正文

智能晶闸管开关设计

开篇:润墨网以专业的文秘视角,为您筛选了一篇智能晶闸管开关设计范文,如需获取更多写作素材,在线客服老师一对一协助。欢迎您的阅读与分享!

摘 要:文章以PIC单片机和CPLD集成系统为控制核心实现对晶闸管的控制,从而实现智能化控制晶闸管,以达到晶闸管安全投切、自我保护等功能。并且其系统结构简单、抗干扰性强、控制精准。

关键词:无功补偿;晶闸管;单片机;CPLD

我国现在广泛运用的无功补偿装置多为并联电容器,这种补偿方式简单、灵活、方便,早期投切电容器组所使用的开关主要是真空接触器等机械式开关,投切过程中所承受电压或者电流比较大,如果负荷变化比较频繁,就会使开关频繁动作而大大降低其使用寿命。晶闸管半控器的出现很好的解决了机械开关的频繁动作导致其寿命降低的缺点,大大提高设备的稳定性。如何更好安全的控制晶闸管将是在以后技术领域作为广大技术研发人员的一个重要的课题。本次研讨的智能晶闸管开关便是在其中一个方案。

1 硬件系统设计

工作原理:为使晶闸管更好的安全的开通与关断为此需要将系统中的电流、电压采集回来作为参考量作为保护和准确投切的依据,通过CPU的处理判断后控制硬件电路来使晶闸管导通关断。考虑到整个系统的稳定性和慢速性因此选用单片机作为CPU,采用CPLD作为硬件电路的芯片可以使整个系统更加集成和稳定。硬件系统结构图如图1

图1 系统硬件结构图

选用低功耗8位PIC单片机PIC18F67K22,其拥有64个管脚工作电压可选为3.3V拥有128KB的片上闪存存储器并且拥有多组I2C、SPI协议的总线接口以及2组USART通讯接口以满足设计需求。

电能测量芯片ATT7022作为电压电流采集芯片, ATT7022适用于三相三线和三相四线。集成功率、能量、有效值、功率因数及频率测量的数字信号处理等电路,能够准确的测量各相电流、电压有效值、功率因数、相角、频率等参数以满足设计需求,从而减少了依靠设计采样电路、调理电路等所带来的元件、参数选择等复杂的问题出现,大大减少了设计时间,提高的系统的稳定性。

CPLD选择ALTERA的EPM570T100C5N它拥有570个可编程逻辑门,支持JATG口编程并且可在3.3V下正常工作以减小系统功耗,支持最高300M的晶振满足快速数据的处理需要。

使用RS485的通讯电路以便在工业使用时智能晶闸管开关可与上位机进行通讯链接,方便数据的交换。

为了操作者的观察和操作方便在系统中还加入了LCD作为显示设备,再通过四个功能按键:“取消”、“上”、“下”、“确定”作为控制按键可以对其设定保护参数和显示数据的查看。

使用I2C总线的外部存储器FM25CL64将智能晶闸管开关的故障报警信息存储以便日后出现故障时操作者可以通过其信息判断故障来源。

2 软件设计

软件设计分为单片机软件和CPLD逻辑两部分,分别实现了数据的处理和逻辑硬件的处理。

2.1 单片机软件

单片机软件多使用模块化结构

(1)主程序模块:此模块主要对单片机进行初始化和各模块之间按需调用与管理。(2)显示模块:此模块将电压、电流、功率因数、谐波畸变率等数据通过LCD进行显示。(3)按键模块:此模块用来操作四个功能键并通过显示模块修改各保护参数和时间的设定。(4)保护模块:此模块可将ATT7022读取的电压、电流信息采用滑动窗口的数据分析方式进行预处理后在根据设定的保护参考信息判断。(5)通讯模块:此模块用于和上位机的数据通讯链接,可读取系统中保护等参考数据。(6)CPLD数据传输模块:此模块用于和CPLD信息的交换和控制CPLD的工作。

2.2 CPLD逻辑部分

CPLD程序采用了自顶向下的设计方法,先确认CPLD所实现功能后确认其基本构架,再通过Verilog HDL语言对其编写各个模块。

(1)电压信号处理模块:此模块将单片机接受到的电压信号再进行数字化处理用于控制投入晶闸管使用。(2)电流信号处理模块:此模块将单片机接受到的电流信号再进行数字化处理用于控制切除晶闸管使用。(3)开关模块:此模块是将电压信号处理模块和电流信号处理模块信号集成处理直接作出开通关断晶闸管信号的控制输出。

图3 CPLD逻辑程序图

3 结束语

该设计使用单片机和CPLD的集成系统实现了对晶闸管的智能投切和保护功能,并且大大提高了系统的稳定,设计中程序和硬件还多采用了模块化结构,移植更加简便,可读性更强,以便日后的升级需要。智能晶闸管开关使晶闸管的开通和关断更加可靠稳定对无功补偿系统中的电容器、电抗器等设备也有更好的保护,增加了产品的可靠性和稳定性。

参考文献

[1]王大志.电力系统无功补偿原理与应用[M].北京:电子工业出版社,2013.

[2]钜泉光电科技(上海)股份有限公司.ATT7022E/26E/28E用户手册[Z].

[3]周景润,图雅,张丽敏.基于QuartusII的FPGA/CPLD数字系统设计实例[M].北京:电子工业出版社,2008.

[4] Microchip. Microchip PIC18F87K22系列数据手册[Z].

[5]王兆安,黄俊.电力电子技术[M].北京:机械工业出版社,2010.

作者简介:李松(1986-),男,助理工程师,2009年毕业于黑龙江科技学院自动化专业,在职,现从事TSC无功功率动态补偿装置的控制系统、电子系统的研发设计工作。