首页 > 文章中心 > 组合电路的设计步骤

组合电路的设计步骤

开篇:润墨网以专业的文秘视角,为您筛选了八篇组合电路的设计步骤范文,如需获取更多写作素材,在线客服老师一对一协助。欢迎您的阅读与分享!

组合电路的设计步骤范文第1篇

关键词: 数字电路设计; 现代数字逻辑设计方法; 数字电路教学改革; 转换真值表

中图分类号: TN710?34; TP302.1 文献标识码: A 文章编号: 1004?373X(2014)07?0139?04

Research on the necessity of change in digital circuit design method

based on CPLD/FPGA

SHUANG Kai, CAI Hong?ming

(College of Geophysics and Information Engineering, China University of Petroleum (Beijing), Beijing 102249, China)

Abstract: Application of large?scale programmable logic device has brought great flexibility to digital system design. The introduction of standard logic design language has greatly changed the design method, design process and design concepts of traditional digital system. As a technical foundation teaching link in the university, it should be adjusted accordingly. The problems of the traditional design approach and advantages of modern logic design methods are compared through the combinational logic and sequential logic design examples. By contrast, the modern logic design techniques has replaced the traditional method of digital system design and become the mainstream of the digital circuit design, which is the inevitable trend of development of electronic technology.

Keyword: digital circuit design; modern digital logic design method; digital circuit teaching reform; conversion truth table

0 引 言

20世纪90年代,国际上电子和计算机技术较为先进的国家,一直在积极探索新的电子电路设计方法,并在设计方法、工具等方面进行了彻底的变革,取得了巨大成功。在电子技术设计领域,可编程逻辑器件(如CPLD、FPGA)的应用,已得到广泛的普及,这些器件为数字系统的设计带来了极大的灵活性。这些器件可以通过类似软件编程的方式对其硬件结构和工作方式进行重构,从而使硬件设计像软件设计那样方便快捷。这就极大地改变了传统的数字系统设计方法、设计过程和设计观念,促进了数字逻辑电路设计技术的迅速发展。本文通过几个设计实例的对比阐述一个道理,随着数字电路中先进设计方法的引入,高等学校中数字电子技术的教学内容必须随之得到改善,使之与技术进步相互适应[1?3]。

数字电路根据逻辑功能的特点,分成两类,一类叫组合逻辑电路(简称组合电路),另一类是时序逻辑电路(简称时序电路)。组合逻辑电路在逻辑功能上的特点是任意时刻的输出仅取决于该时刻的输入,与电路初态无关。而时序逻辑电路任意时刻的输出不仅取决于当时的输入信号,还取决于电路原来的状态。本文从这两方面就传统手工设计存在的问题进行讨论。

1 组合逻辑设计中传统设计方法与可编程逻辑

设计方法的对比

列真值表,逻辑关系式,逻辑化简是组合逻辑设计的几个重要步骤。但这一经典的组合逻辑设计步骤并不总是必须的。实现特定逻辑功能的逻辑电路也是多种多样的。为了使逻辑电路的设计更简洁,通过各种方法对逻辑表达式进行化简是必要的。组合电路设计就是用最简单的逻辑电路实现给定逻辑表达式。在满足逻辑功能和技术要求基础上,力求电路简单、可靠。实现组合逻辑函数可采用基本门电路,也可采用中、大规模集成电路。

例1:三个人表决一件事情,结果按“少数服从多数”的原则决定这一逻辑问题[4?5]。在“三人表决”问题中,将三个人的意见分别设置为逻辑变量A、B、C,只能有同意或不同意两种意见。将表决结果设置为逻辑函数F,结果也只有“通过”与“不通过”两种情况。

传统的逻辑设计需要由下面的4个步骤完成:

(1) 列真值表

对于逻辑变量A、B、C,设同意为逻辑1,不同意为逻辑0。对于逻辑函数F,设表决通过为逻辑1,不通过为逻辑0。

根据“少数服从多数”的原则,将输入变量不同取值组合与函数值间的对应关系列成表,得到函数的真值表如表1所示。

表1 例1的真值表(共有23=8行)

[A\&B\&C\&F\&0\&0\&0\&0\&0\&0\&1\&0\&0\&1\&0\&0\&0\&1\&1\&1\&1\&0\&0\&0\&1\&0\&1\&1\&1\&1\&0\&1\&1\&1\&1\&1\&]

(2) 列逻辑函数表达式

三人表决器的逻辑表达式为:

[F=ABC+ABC+ABC+ABC] (1)

设N为上式中的逻辑项数,这时,共有逻辑项[N=C23+C33=4]项。

(3) 逻辑化简

三人表决器的逻辑表达式可化简为:

[F=BC+AC+AB]

(4) 画出逻辑电路图如图1所示。

尽管上面的分析看上去没有错误,但上例中的“三人表决器”设计给学生一个误导,好像按照上述的设计步骤就可以进行组合逻辑设计了。可以推导,若表决人数用[p]来表示,逻辑表达式的项数为[Np=k=p2+1pCkp,]其中[Ckp]为逻辑项的组合数。以[p=7]为例,这时表1中的表项为27=128项,式(1)中的逻辑项数N变为[N7=C47+C57+C67+C77=64]。

图1 例1的逻辑图

显然,随着表决者数量的增加,逻辑项数急剧增加,真值表不易绘制,逻辑公式无法手工书写,逻辑化简也非常困难。

多数表决器的逻辑公式由于过多的项数不易采用公式法化简。如果采用卡诺图化简法也会因输入变量过多而导致传统化简方法失效。

标准逻辑设计语言的出现给大规模逻辑设计带来了新的希望。硬件描述语言(HDL)的采用可以使设计者的精力集中于所设计的逻辑本身,不必过多的考虑如何实现这个逻辑以及需要用哪些定型的逻辑模块。这在以往中小规模集成电路逻辑设计与大规模可编程逻辑设计方法上产生了本质的差别。Verilog是一种以文本形式来描述数字系统硬件结构和行为的语言,用它可以表示逻辑电路图、逻辑表达式,还可以表示数字逻辑系统所完成的逻辑功能。在此,用Verilog设计一个“七人表决”逻辑,以考察采用现代逻辑设计方法较传统设计方法的优势。

在表决器的设计中,关键是对输入变量中为1的表决结果进行计数,如果把全部的逻辑状态列表分析,势必存在冗余的设计资源。根据多数表决的性质,考虑采用加法逻辑来统计表决结果,之后再判决加法器输出中1的个数即可实现该逻辑。Verilog设计如图2所示。

图2 七人表决的Verilog逻辑

在“七人表决”逻辑中,不再专注于每个逻辑变量状态的变化,只抓住关键问题多数表决有效,并用条件操作符“?”设计出所需的Verilog行为逻辑,剩下的实现问题交由计算机综合(synthesis)。可以看到,采用标准化的硬件描述语言,能有效地避开以往组合逻辑设计中逐一考察每个输入逻辑状态所带来的逻辑状态分析的爆炸,从而可以用较短的设计时间得到正确的逻辑输出。众所周知,加法器、比较器都是传统的组合逻辑教学内容,但以往的教学中由于采用手工分析方法,很难把这些不同的逻辑设计内容综合考虑进来。笔者认为,现代逻辑设计方法的引入将逐渐转化人们对传统逻辑设计中的关注点,势必引起逻辑设计教学方法的更新。有必要加大逻辑功能综合设计的内容,减少元器件级逻辑单元选型在教学中的比例。

2 时序逻辑设计中传统设计方法与现代可编程

逻辑设计方法的对比

数字电路的另一类设计内容是时序逻辑设计。时序逻辑设计分为同步与异步时序逻辑设计。一般地,同步时序逻辑设计的难度要高于异步时序逻辑。因此,也在时序逻辑电路设计上占有较多的学时。如果在教学改革中仅把可编程逻辑设计作为传统时序逻辑设计内容的补充,不但不能使学生体会到先进的计算机辅助逻辑设计所带来的便捷,还可能使学生按照传统的手工时序逻辑设计步骤去理解可编程时序逻辑,导致时序逻辑设计的复杂化,增加逻辑验证的成本。因此,有必要探讨传统设计方法与现代逻辑设计方法之间的差别。下面根据一个典型的时序逻辑设计来说明。

例2:试设计一个序列编码检测器[6?7],当检测到输入信号出现110序列时,电路输出1,否则输出0。

这个序列编码检测器如果按照传统的时序设计步骤,将会异常繁琐:

(1) 由给定的逻辑功能建立原始状态图和原始状态表

从给定的逻辑功能可知,电路有一个输入信号A和一个输出信号Y,电路功能是对输入信号A的编码序列进行检测,一旦检测到信号A出现连续编码为110的序列时,输出为1,检测到其他编码序列时,输出为0。

设电路的初始状态为a,如图3中箭头所指。在此状态下,电路输出[Y=0,]这时可能的输入有[A=0]和[A=1]两种情况。当CP脉冲相应边沿到来时,若[A=0,]则是收到0,应保持在状态a不变;若[A=1,]则转向状态[b,]表示电路收到一个1。当在状态[b]时,若输入[A=0,]则表明连续输入编码为10,不是110,则应回到初始状态[a,]重新开始检测;若[A=1,]则进入状态[c,]表示已连续收到两个1。在状态[c]时,若A=0,表明已收到序列编码110,则输出[Y=1,]并进入状态d;若[A=1,]则收到的编码为111,应保持在状态[c]不变,看下一个编码输入是否为[A=0;]由于尚未收到最后的0,故输出仍为0。在状态[d,]若输入[A=0,]则应回到状态[a,]重新开始检测;若[A=1,]电路应转向状态[b,]表示在收到110之后又重新收到一个1,已进入下一轮检测;在[d]状态下,无论[A]为何值,输出[Y]均为0。根据上述分析,可以得出如图3所示的原始状态图和表2所示的原始状态表。

图3 例2的原始状态图

表2 例2的原始状态表

[现态

[(Sn)]\&次态/输出[Sn+1Y]\&现态

[(Sn)]\&次态/输出[Sn+1Y]\&[A=0]\&[A=1]\&[A=0]\&[A=1]\&[a]

[b]\&[a/0]

[a/0]\&[b/0]

[c/0]\&[c]

[d]\&[d1]

[a/0]\&[c/0]

[b/0]\&]

(2) 状态化简

观察表2现态栏中[a]和[d]两行可以看出,当[A=0]和[A=1]时,分别具有相同的次态[a、][b]及相同的输出0,因此,[a]和[d]是等价状态,可以合并。最后得到化简后的状态表,见表3。

表3 例2经化简的状态表

[现态

[(Sn)]\&次态/输出[Sn+1Y]\&现态

[(Sn)]\&次态/输出[Sn+1Y]\&[A=0]\&[A=1]\&[A=0]\&[A=1]\&[a]

[b]\&[a/0]

[a/0]\&[b/0]

[c/0]\&[c]

\&[a1]

\&[c/0]

\&]

(3) 状态分配

化简后的状态有三个,可以用2位二进制代码组合(00,01,10,11)中的任意三个代码表示,用两个触发器组成电路。观察表3,当输入信号A=1时,有abc的变化顺序,当A=0时,又存在ca的变化。综合两方面考虑,这里采取00011100的变化顺序,会使其中的组合电路相对简单。于是,令a=00,b=01,c=11,得到状态分配后的状态图,如图4所示。

图4 例2状态分配后的状态图

(4) 选择触发器类型

这里选用逻辑功能较强的JK触发器可以得到较简化的组合电路。

(5) 确定激励方程组和输出方程组

用JK触发器设计时序电路时,电路的激励方程需要间接导出。表4所示的JK触发器特性表提供了在不同现态和输入条件下所对应的次态。而在时序电路设计时,状态表已列出现态到次态的转换关系,希望推导出触发器的激励条件。所以需将特性表做适当变换,以给定的状态转换为条件,列出所需求的输入信号,称为激励表。根据表4建立的JK触发器激励表如表5所示。表中的[x]表示其逻辑值与该行的状态转换无关。

表4 JK触发器特性表

[[Qn]\&[J]\&[K]\&[Qn+1]\&[Qn]\&[J]\&[K]\&[Qn+1]\&0\&0\&0\&0\&1\&0\&0\&1\&0\&0\&1\&0\&1\&0\&1\&0\&0\&1\&0\&1\&1\&1\&0\&1\&0\&1\&1\&1\&1\&1\&1\&0\&]

表5 JK触发器的激励表

[[Qn]\&[Qn+1]\&[J]\&[K]\&[Qn]\&[Qn+1]\&[J]\&[K]\&0\&0\&0\&[x]\&1\&0\&[x]\&1\&0\&1\&1\&[x]\&1\&1\&[x]\&0\&]

根据图4和表5可以列出状态转换真值表及两个触发器所要求的激励信号,见表6。

表6 例2的状态转换真值表及激励信号

[[Qn1]\&[Qn0]\&[A]\&[Qn+11]\&[Qn+10]\&[Y]\& 激励信号\&[J1]\&[K1]\&[J0]\&[K0]\&0\&0\&0\&0\&0\&0\&0\&[x]\&0\&[x]\&0\&0\&1\&0\&1\&0\&0\&[x]\&1\&[x]\&0\&1\&0\&0\&0\&0\&0\&[x]\&[x]\&1\&0\&1\&1\&1\&1\&0\&1\&[x]\&[x]\&0\&1\&1\&0\&0\&0\&1\&[x]\&1\&[x]\&1\&1\&1\&1\&1\&1\&0\&[x]\&0\&[x]\&0\&]

据此,分别画出两个触发器的输入J、K和电路输出Y的卡诺图,如图5所示。图中,不使用的状态均以无关项x填入。

图5 激励信号及输出信号的卡诺图

化简后得到激励方程组和输出方程。

[J1=Q0AK1=AJ0=AK0=AY=Q1A]

(6) 画出逻辑图,并检查自启动能力

根据激励方程组和输出方程画出逻辑图,如图6所示。

图6 例2的逻辑图

如果发现所设计的电路不能自启动,还应修改设计,直到能自启动为止。

由上面所列举的设计方法可以想见,继续增加检测位数会使逻辑设计更加复杂。

从上例可以看到,传统的时序逻辑设计方法尽管可以用来实现时序逻辑的设计,但设计步骤不仅复杂且需要设计者大费周折。可以预见,使用传统的时序逻辑设计方法设计复杂时序电路的难度很大。那么,采用什么方法才能使教学与现代逻辑设计技术接轨呢?

时序电路也被称为有限状态机(FSM)[6,8],因为它们的功能行为可以用有限的状态个数来表示。在与可编程逻辑设计的对比分析中,这里采用FSM设计这个序列检测器。

根据图3的状态转换图(采用图4中化简的状态转换图亦可),给逻辑状态[a,b,c,d]分别分配以Gray编码(00,01,11,10)。之所以采用Gray编码方法,是可以省掉序列检测中的计数检测。序列检测器的FSM逻辑如图7所示。经仿真验证,符合设计要求。

图7 例2的FSM实现

从上面的对比可以看出,传统时序逻辑设计以人工逻辑分析为基础,现有逻辑器件为基础构件,历经基本逻辑方程转换及最后的状态验证等多个环节,设计周期长,仅适合设计小规模、时序简单的逻辑单元[9];现代标准逻辑设计语言的设计方法以逻辑状态转换本身为要点,从逻辑门与触发器级逻辑设计上升的行为逻辑设计,更易于用来设计复杂的现代大规模时序逻辑。

3 结 论

现代逻辑设计方法的引入将逐渐转化人们对传统逻辑设计的关注点,大学基础教学中逻辑电路的设计方法也应随着这一技术的引入更新它的内容,改变传统逻辑设计占主导地位的现状。可以预见,大规模可编程逻辑器件的引入将会从根本上改变数字电子技术的教学模式。现代逻辑设计概念的引入,减少手工逻辑设计方法的比重、增加现代数字电路设计方法,注重基本概念的灵活运用都是数字电路教学改革的选题。广泛开展现代逻辑设计方法的研究,势必带来逻辑设计方法教学的变革。对于高等学校的教师来说,做好改革的思想准备已经是刻不容缓的了。

参考文献

[1] 鲍家元,毛文林.数字逻辑[M].北京:高等教育出版社,2002.

[2] 吕乐,杨爱琴.谈《数字电路与逻辑设计》课程教学改革[J].中国成人教育,2008(3):125?127.

[3] 李小珉,叶晓慧.深化《数字电路与逻辑设计》课程改革[J].长江大学学报:自科版,2004,1(4):124?125.

[4] 侯建军,路而红,熊华刚,等.数字电子技术基础[M].2版.北京:高等教育出版社,2007.

[5] 易亚军.《数字电子技术》教学研究[J].教育研究,2008(6):121?122.

[6] 康华光,邹寿彬,秦臻.电子技术基础:数字部分[M].5版.北京:高等教育出版社,2006.

[7] 邓水先.《数字逻辑电路》课程的教改探索[J].职业教育研究,2008(8):68?69.

组合电路的设计步骤范文第2篇

关键词: 组合逻辑电路;电路设计;Multisim;仿真;交通信号灯;监控器

中图分类号:TP391 文献标识码:A 文章编号:1009-3044(2013)29-6625-04

1 概述

数字电子技术已广泛应用于各个专业技术领域,组合逻辑电路是数字电路重要的组成部分,也是时序逻辑电路设计的基础,在实践中被广泛应用。组合逻辑电路的输出仅与当前的输入状态有关,而与输入之前的信号状态无关,因此组合逻辑电路没有记忆功能,在其电路中没有反馈延迟电路[1-2]。

Multisim的前身是EWB(Electronics Workbench)软件,是美国国家仪器(NI)有限公司推出的以Windows为基础的交互式SPice仿真和电路分析软件,专用于原理图捕获、交互式仿真、电路板设计和集成测试[3-5]。Multisim软件包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力。

本文以交通信号灯监控器为例,分别运用与非门74LS00、中规模集成数据选择器74LS151和中规模集成译码器74LS138为主要元件设计三种实现监控交通信号灯状态的控制电路,并利用Multisim 12.0软件进行仿真测试。

2 组合逻辑电路的设计

2.1 组合逻辑电路设计的一般步骤

组合逻辑电路设计主要是将用户的具体设计要求用逻辑函数加以描述,再用具体的电路加以实现的过程。组合逻辑电路的设计可分为小规模集成电路、中规模集成电路、定制或半定制集成电路的设计[6]。其设计的一般步骤可用图1来表示:

1)首先对命题要求进行分析,确定输入变量、输出变量的个数和状态,并以真值表的形式列出;

2)根据真值表写出逻辑函数表达式;

3)通过逻辑化简,写出最简的逻辑函数表达式;

4)根据逻辑功能要求以及实际情况,选择合适的门器件,把最简的表达式转换为相应的表达式;

5)根据表达式画出该电路的逻辑电路图。

2.2 组合逻辑电路的设计方法

组合逻辑电路可以采用分立元件实现,随着微电子技术的迅速发展和集成电路工艺水平的提高,单块芯片的集成度越来越高,价格越来越便宜,也可用通过小规模集成电路SSI,中规模集成电路MSI、定制或半定制集成电路等来实现[7]。

本文以监控交通信号灯工作状态的监控器为例分析组合逻辑电路的设计方法。交通信号灯是交通信号中的重要组成部分,是道路交通的基本语言,每一组交通信号灯由红、黄、绿三盏灯组成。正常工作情况下,任何时刻必有一盏灯点亮,而且也仅有一盏灯亮。当出现其他状态时,电路发生故障,这时监控器发出故障信号以提醒维护人员前去修理。

2.2.1 命题分析

根据交通信号灯监控器的工作原理,确定红、黄、绿三盏灯的状态为输入变量,分别用A、B、C表示;取故障信号为输出变量,用F表示。

假设:A、B、C取1时,表示灯亮,A、B、C取0时,表示灯不亮;F为1时,表示工作状态正常,F为1时表示发生故障。

2.2.2 列写真值表

根据命题分析列出逻辑真值表,如表1所示。

计算机工程应用技术\jxy02.jpg> (1)

运用卡诺图化简,可得简化的逻辑函数表达式:

2.2.4把最简的表达式转换为相应的表达式

逻辑电路图是根据逻辑函数表达式得出的,因此画逻辑电路图之前要根据逻辑功能要求以及实际情况确定元件,将最简的表达式转换为与所选用元件相对应的表达式。

1)选用与非门实现

选用集成与非门74LS00、74LS20实现交通信号灯监控器,将输出与输入之间的逻辑关系转换为与非表达式。通过表达式变换,得到式3。

数据选择器是一种多路输入、单路输出的逻辑部件。它在控制信号作用下,从多个输入数据中选一个送到输出端。式4给出了数据选择器输出与输入的逻辑关系,其中,A0、A1、……Ak表示控制信号,Y表示输出信号,Di为数据输入信号,mi为控制信号的最小项表示,2k=n。

从表达式4中可以看出,其输出实际上是数据输入与地址输入的最小项相与的关系,所以数据选择器可以实现各种组合逻辑功能。选用中规模集成数据选择器74LS151可实现交通信号监控器。74LS151是八选一数据选择器,对式1进行变换,可得式5:

[F =ABC+ABC+ABC+ABC+ABC =m0+m3+m5+m6+m7 =m0?1+m1?0+m2?0+m3?1+m4?0+m5?1+m6?1+m7?1] (5)

由式5可以看出,选用74LS151实现交通信号监控器需使F=Y,A=A2,B=A1,C=A0,则有D0=D3=D5=D6=D7=1,D1=D2=D4 =0。

3)选用变量译码器实现

变量译码器是组合逻辑电路中一个重要的器件,它是一个将n个输入变为2n个输出的多输出端的组合逻辑电路。变量译码器的输出与输入之间的逻辑关系可用式6表示:

(6)

其中,Yi 是输出端,mi是关于输入变量An-1,An-2,……,A0的最小项,0

由于译码器电路的输出列出了该电路的所有最小项表达式,而任何一个组合逻辑电路都可以写成最小项表达式的形式,因此我们可运用译码器电路实现各种组合逻辑电路。选用中规模集成译码器74LS138来实现交通信号灯监控器。由于74LS138的输出是反变量形式,低电平有效,因此变换式1得:

[F=ABC+ABC+ABC+ABC+ABC=m0+m3+m5+m6+m7 =m0+m3+m5+m6+m7=m0?m3?m5?m6?m7] (7)

使74LS138的三个数据输入端分别为:A=A2,B=A1,C=A0,且三个使能端有效,则74LS138中的8个输出可分别与交通信号灯监控器输出的最小项一一对应。

2.2.5 根据表达式画出逻辑电路图

为了便于逻辑电路图的验证,利用Multisim 12.0设计逻辑电路图。根据2.2.4小节中三种设计方法的相应表达式:式3、式5、式7画出逻辑电路图,分别如图2、图3、图4所示。

图2~图4中的XLC1 为逻辑转换仪,它是Multisim软件的一种虚拟装置,可以接入交通信号灯监控器的输入与输出端,测试与验证其逻辑功能。通过逻辑转换仪中的“逻辑电路转换为真值表”的功能分别验证了图2~图4的逻辑功能,得到的真值表相同,如图5所示,该电路真值表及逻辑函数表达式与设计的要求一致。

2.3设计方法分析比较

选用不同的元件最后设计出的电路形式虽然差别很大,但是实现的逻辑功能却相同。选用如本文选用的74LS00、74LS20等SSI来实现电路,所用的集成电路芯片数量多,线路复杂,通用性不强,仅能够适应某一特殊的函数要求。在用SSI设计电路时,要力求逻辑门电路的数量、种类以及输入端的数量均应达到最少。

选用MSI设计组合逻辑电路,如本文选用的74LS151、74LS138,可以减少元件的数目,具有较强的通用性,可靠性高,易于设计、生产、调试和维护[8]。

3 组合逻辑电路的仿真

对于设计好的组合逻辑电路,不仅可以通过 Multisim中的逻辑转换仪来验证,还可以在Multisim窗口中搭建电路来仿真。从Multisim元件库在已经绘制好的逻辑电路图中添加电源、地、电阻、发光二极管等电器元件并进行连线,得到仿真电路图。由于篇幅有限,文中只给出了用74LS138实现交通信号灯监控器的仿真电路图,如图6所示。

在仿真过程中,S1、S2、S3三个开关在全部打开、全部闭合以及任意两个闭合的情况下,发光二极管就会亮,此时表示交通信号灯出现故障。

4 结束语

本文以交通信号灯监控器为例分析了组合逻辑电路设计的过程并进行了Multisim仿真测试。可以看出组合逻辑电路设计中,要实现相同的逻辑功能可根据实际情况选用不同的设计方法;同时,借助于EDA软件Multisim,可以显著提高电路设计工作的效率,为组合逻辑电路的设计仿真提供了一定的借鉴方法。

参考文献:

[1] 王毓银.数字电路逻辑设计[M].北京:高等教育出版社,2002.

[2] 魏淑桃.计算机电路基础[M]. 北京:高等教育出版社,2008.

[3] 周润景,郝晓霞.Multisim&LabVIEW 虚拟仪器设计技术[M].北京:北京航空航天大学出版社,2008.

[4] 石嘉顺.基于multisim 环境下的电路设计与仿真[J].计算机仿真,2007,24(12):306-308.

[5] 王延才.基于Multisim 的电路仿真分析与设计[J].计算机工程与设计,2004,25(4):65-67.

[6] 黄进文.组合逻辑函数的实现方法讨论[J].宝山师专学报,2004,23(2):42-46.

组合电路的设计步骤范文第3篇

第一章

逻辑代数基础知识要点

一、二进制、十进制、十六进制数之间的转换;二进制数的原码、反码和补码

二、逻辑代数的三种基本运算以及5种复合运算的图形符号、表达式和真值表:与、或、非

三、逻辑代数的基本公式和常用公式、基本规则

逻辑代数的基本公式

逻辑代数常用公式:

吸收律:

消去律:

多余项定律:

反演定律:

基本规则:反演规则和对偶规则,例1-5

四、逻辑函数的三种表示方法及其互相转换

逻辑函数的三种表示方法为:真值表、函数式、逻辑图

会从这三种中任一种推出其它二种,详见例1-7

五、逻辑函数的最小项表示法:最小项的性质;例1-8

六、逻辑函数的化简:要求按步骤解答

1、利用公式法对逻辑函数进行化简

2、利用卡诺图对逻辑函数化简

3、具有约束条件的逻辑函数化简

例1.1

利用公式法化简

解:

例1.2

利用卡诺图化简逻辑函数

约束条件为

解:函数Y的卡诺图如下:

第二章

门电路知识要点

一、三极管开、关状态

1、饱和、截止条件:截止:,

饱和:

2、反相器饱和、截止判断

二、基本门电路及其逻辑符号

与门、或非门、非门、与非门、OC门、三态门、异或;

传输门、OC/OD门及三态门的应用

三、门电路的外特性

1、输入端电阻特性:对TTL门电路而言,输入端通过电阻接地或低电平时,由于输入电流流过该电阻,会在电阻上产生压降,当电阻大于开门电阻时,相当于逻辑高电平。习题2-7

以下内容了解

2、输入短路电流IIS

输入端接地时的输入电流叫做输入短路电流IIS。

3、输入高电平漏电流IIH

输入端接高电平时输入电流

4、输出高电平负载电流IOH

5、输出低电平负载电流IOL

6、扇出系数NO

一个门电路驱动同类门的最大数目

第三章

组合逻辑电路知识要点

一、组合逻辑电路:任意时刻的输出仅仅取决于该时刻的输入,与电路原来的状态无关

二、组合逻辑电路的分析方法(按步骤解题)

三、若干常用组合逻辑电路

译码器(74LS138)

全加器(真值表分析)

数选器(74151和74153)

四、组合逻辑电路设计方法(按步骤解题)

1、用门电路设计

2、用译码器、数据选择器实现

例3.1

试设计一个三位多数表决电路

1、用与非门实现

2、用译码器74LS138实现

3、用双4选1数据选择器74LS153

解:1.

逻辑定义

设A、B、C为三个输入变量,Y为输出变量。逻辑1表示同意,逻辑0表示不同意,输出变量Y=1表示事件成立,逻辑0表示事件不成立。

2.

根据题意列出真值表如表3.1所示

表3.1

3.

经化简函数Y的最简与或式为:

4.

用门电路与非门实现

函数Y的与非—与非表达式为:

逻辑图如下:

5.

用3—8译码器74LS138实现

由于74LS138为低电平译码,故有

由真值表得出Y的最小项表示法为:

用74LS138实现的逻辑图如下:

6.

用双4选1的数据选择器74LS153实现

74LS153内含二片双4选1数据选择器,由于该函数Y是三变量函数,故只需用一个4选1即可,如果是4变量函数,则需将二个4选1级连后才能实现

74LS153输出Y1的逻辑函数表达式为:

三变量多数表决电路Y输出函数为:

A=A1,B=A0,C用D10~D13表示,则

D10=0,D11=C,D12=C,D13=1

逻辑图如下:

注:实验中1位二进制全加器设计:用138或153如何实现?1位二进制全减器呢?

第四章

触发器知识要点

一、触发器:能储存一位二进制信号的单元

二、各类触发器框图、功能表和特性方程

RS:

SR=0

JK:

D:

T:

T':

三、各类触发器动作特点及波形图画法

基本RS触发器:SD、RD每一变化对输出均产生影响

时钟控制RS触发器:在CP高电平期间R、S变化对输出有影响

主从JK触发器:在CP=1期间,主触发器状态随R、S变化。CP下降沿,从触发器按主触发器状态翻转。在CP=1期间,JK状态应保持不变,否则会产生一次状态变化。

T'触发器:Q是CP的二分频

边沿触发器:触发器的次态仅取决于CP(上升沿/下降沿)到达时输入信号状态。

四、触发器转换

D触发器和JK触发器转换成T和T’触发器

第五章

时序逻辑电路知识要点

一、时序逻辑电路的组成特点:任一时刻的输出信号不仅取决于该时刻的输入信号,还和电路原状态有关。

时序逻辑电路由组合逻辑电路和存储电路组成。

二、同步时序逻辑电路的分析方法(按步骤解题)

逻辑图写出驱动方程写出状态方程写出输出方程画出状态转换图

(详见例5-1)

三、典型时序逻辑电路

1.

移位寄存器及移位寄存器型计数器。

2.

用T触发器构成二进制加法计数器构成方法。

T0=1

T1=Q0

···

Ti=Qi-1

Qi-2

···Q1

Q0

3.

集成计数器框图及功能表的理解

4位同步二进制计数器74LS161:异步清0(低电平),同步置数,CP上升沿计数,功能表

4位同步十进制计数器74LS160:同74LS161

同步十六进制加/减计数器74LS191:无清0端,只有异步预置端,功能表

双时钟同步十六进制加减计数器74LS193:有二个时钟CPU,CPD,异步置0(H),异步预置(L)

四、时序逻辑电路的设计

(按步骤解题)

1.用触发器组成同步计数器的设计方法及设计步骤(例5-3)

逻辑抽象状态转换图画出次态

以及各输出的卡诺图利用卡诺图求状态方程和驱动方程、输出方程检查自启动(如不能自启动则应修改逻辑)画逻辑图

2.

用集成计数器组成任意进制计数器的方法

置0法:如果集成计数器有清零端,则可控制清零端来改变计数长度。如果是异步清零端,则N进制计数器可用第N个状态译码产生控制信号控制清零端,如果是同步清零,则用第N-1个状态译码产生控制信号,产生控制信号时应注意清零端时高电平还是低电平。

置数法:控制预置端来改变计数长度。

如果异步预置,则用第N个状态译码产生控制信号

如果同步预置,则用第N-1个状态译码产生控制信号,也应注意预置端是高电平还是低电平。

两片间进位信号产生:有串行进位和并行进位二种方法

详见例5-5至5-8

第六章

可编程逻辑器件知识要点

一、半导体存储器的分类及功能(了解)

从功能上分

二、半导体存储器结构

(了解)

ROM、RAM结构框图以及两者差异

三、RAM存储器容量扩展

位扩展:增加数据位数

字扩展:增加存储单元

第八章

脉冲波形产生和整形知识要点

重点:555电路及其应用

一、用555组成多谐振荡器

1.

电路组成如图6.5所示

图6.5

2.

电路参数:

充电:(R1+R2)C

放电:

R2C

周期:T=(R1+2R2)C

ln2

占空比:

二、用555电路组成施密特触发器

1.

电路如图6.1所示

2.

回差计算

回差

3.

对应Vi输入波形、输出波形如图6.2所示

三、用555电路组成单稳电路

1.

电路如图6.3所示

稳态时

VO=0。

Vi2有负脉冲触发时VO=1。

2.

脉宽参数计算

3.

波形如图6.4所示

第九章

数模和模数转换知识要点

一、D/A

转换器

D/A

转换器的一般形式为:VO=KDi,K为比例系数,Di为输入的二进制数,D/A

转换器的电路结构主要看有权电阻、权电流、权电容以及开关树型D/A

转换器。

权电阻及倒T型电阻网络D/A转换器输出电压和输入二进制数之间关系的推导过程。

二、A/D

转换器

1.

A/D

转换器基本原理

取样定理:为保证取样后的信号不失真恢复变量信号,设采样频率为,原信号最高频率为,则。

A/D

转换器过程:采样、保持、量化、编码

2.

典型A/D

转换器的工作原理

逐次逼近型A/D

转换器原理

组合电路的设计步骤范文第4篇

关键词:数字电路;故障;检测技术;特点

中图分类号:TN79 文献标识码:A 文章编号:1674-7712 (2013) 18-0000-01

一、数字电路概述

数字信号,指的是以多个离散值表示的离散信号;数字电路,是指用于处理数字信号的电路。数字电路输出只有低电平和高电平两种状态(三态门除外)。通常来说数字电路可区分出高低电平状态。以逻辑规则为标准,可将数字电路分为组合逻辑电路和时序逻辑电路两类。其中组合逻辑电路,是指输入信号、输出信号二者无反馈关系的数字电路,在该类电路中,各时刻输出的信号仅与实时输入信号有关,与之前的电路输出无关,其不具有记忆能力。依靠触发器存储功能所组成的电路称之为时序逻辑电路,其具有记忆功能,该类电路的输出内容,由输入端信号与输出端反馈信号共同决定。

二、数字电路故障的特点及原因分析

(一)特点分析

数字电路故障检测是一项复杂的工作,这是因为待检测电路同时存在多个输入输出,多者可达数百个,电路响应具有时序性,而并非简单的组合关系;同时,由于很多的记忆元件、电路门都被封装于同一芯片中,其可能存在较多的物理缺陷,对于这些元件的输入输出、逻辑电平是无法测量的。所以,有必要找寻到一些准确而简单的检测方法,对元器件芯片、数字电路故障进行检测。

(二)原因分析

数字电路发生故障的原因主要可分为5大类:

1.在进行电路设计时考虑不周全(如元件参数变化),出现了设计缺陷

随着使用时间的推移,电子元件会逐渐发生老化,其参数性能也会逐渐下降,同时温度的改变也可能导致参数变化。例如:1个与非门可带10个同类门电路输出低电压,然而与非门实际可带的同类门远大于10个。这就可能导致输出低电压迅速上升,从而对电路原功能产生破坏,使系统无法正常工作,在输出高电压时,也同样会出现此种问题。

2.信号线故障

电路板电路在潮湿、大电流等因素的影响下,会导致信号线断路、短路、烧蚀等现象,发生信号线故障,致使电路无法正常工作。

3.接触不良、布线不当

在数字电路中,元件接触不良、布线不当是最为常见的故障类型。焊点氧化、虚焊、插件松动等都可能导致电路板故障。另外,在安装过程中出现漏线、桥接、中断线、元器件插错、闲置输入端或使能端处理不当等都会引发电路故障。

4.使用期限过长

对于电子元器件,若使用时间过长,超出了使用期限,就会发生老化,各项性能指标都会下降,从而加大设备故障的发生概率。

5.工作环境太差

当设备所处环境不符合设计要求的电磁环境、湿度、温度、工作时间等规定时,就很难保证设备的可靠运行。很多数字设备都对工作环境方面具有较高要求,湿度过大、温度过低或过高等都可能造成设备故障。另外,环境中的电磁干扰过大,也可导致设备故障。

三、数字电路故障检测技术

在数字电路故障检测中,常用的方法主要有逻辑检测、常规检测两种方法。

(一)逻辑检测法

常用逻辑检测法包括群举测试法、伪群举测试法两种。其中群举测试法,是指将受测电路作为输入端,接受所有输入信号,将其作为测试码,再查看受测电路输出,判断其与电路逻辑功能间的关系。应用该方法时,先要确定测试码集合,其是电路故障检测的直接依据,把测试码集合加入受测电路,通过测试电路相应以进行故障判定。伪群举测试法,是改进后的群举测试法,其有效克服了原方法中测试效率低下、测试码众多的缺陷。伪群举测试法中,先对电路进行合理分块,然后运用群举测试法对各块电路进行测试,从而使测试效率大为提高。

(二)常规检测法

常规检测可总结为“望、闻、问、触、测”五个字,其中,“望”就是查看设备有无异常情况,例如渗液、破损、腐蚀等。“闻”就是闻元器件是否有异味。“问”,即询问故障情况,全面了解故障现象、产生原因,以缩短检测时间;“触”就是触摸元件,感受有无异常发热;“测”就是使用专业设备对电路进行测试,常用的专业测试设备有逻辑分析仪、逻辑笔、示波器等。

另外,顺序检测法也是一种常用的故障检测技术,该方法是指先在输入端加信号,跟随信号流向,从输出级到输出级逐级测量、检查,以判定故障部位,也可从输出级到输入级进行测量,在检测出异常信号后,再由故障级开始逐级检测,直到检测到正常信号为止。

四、故障检测步骤

数字电路故障的检测一般会经历隔离、定位、诊断排除3个步骤。首先,在深入、全面考察故障特征的基础上,尽量缩小故障范围,进行故障隔离。通常来说,若电路无信号,应使用探头对电路连接路径进行检测,以快速找出消失信号;其次,在隔离故障后,就要观察故障影响,此时多会运用到电流跟踪器、逻辑脉冲发生器、逻辑探头,以进一步锁定故障源;最后,在找出故障源后,先要对故障电路进行动态测试,控制故障范围,再进行静态测试,以找准故障点,最后顺利排除故障。

五、结束语

综上所述,随着数字电路应用的日益广泛,其发生故障的频率也越来越高,因此数字电路诊断、检测的作用也显得越发重要。在实际工作中,应当多积累经验,结合实际情况,合理选择故障检测方法,提高故障检测效率,以确保数字电路运行的可靠性与稳定性。

参考文献:

[1]蔡万清.关于数字电路的故障检测技术研究[J].中国科技纵横,2010(4):25.

[2]卢振达,陈建辉.数字电路ATPGS实现的关键技术研究[J].仪表技术,2009(10):21-22,25.

[3]姬昌.数字电路的故障检测与诊断初探[J].科海故事博览・科教创新,2009(2):193-193.

组合电路的设计步骤范文第5篇

中图分类号:TN822 文献标识码:A 文章编号:1009-914X(2015)27-0132-01

1、无源网络的设计

对于无源网络,其是由电阻、电容、电感三种基本元件通过一定的组合构成的,这一电路结构不提供能量。无源单口网络的设计方法是建立在网络函数和频率特性的基础上,即设计的任务要求以网络函数的形式给出,设计的元件参数也用网络函数表达。由于网络函数的零极点位置由电路的频率特性决定,因此,电路设计的关键是使得电路在指定位置上有所需要的零极点以实现性能指标要求的频率特性。

1.1 无源单口网络设计

1.1.1 LC单口网络设计

LC单口网络由于只包含电感与电容两种电抗元件,故而又被称为电抗单口网络。在进行电路设计时,将需要达到的设计目标以网络函数的形式表达出来,进而分析网络函数的零极点位置。通过结合电感与电容自身的频率特性,可以得到二者在复平面上的阻抗和导纳的零极点位置。因此,进行设计的原理就是,通过电感与电容的组合,设计出LC单口网络,使其阻抗或导纳的零极点位置与待综合的网络函数的零极点位置相同。

设计LC单口网络的方法主要有福斯特法与考尔法:

⑴福斯特法又称为部分分式法。设计的基本步骤和方法是:

①根据网络函数确定阻抗或导纳函数零极点的位置,画出阻抗或导纳的零极点图;

②由电感、电容元件的零极点,确定在电路中应采用哪些元件与怎样的组合方式,由此确定网络的结构;

③将阻抗或导纳函数改写成部分分式求和的形式;

④与阻抗元件的函数表达式进行对比,确定对应的元件的参数值,确定最终的网络结构与元件参数值。

⑵考尔法是将极点交替移去以得到梯形网络结构的方法。设计的基本步骤和方法是:

①根据网络函数确定阻抗零极点位置;

②将阻抗函数或导纳函数以辗转相除法进行改写,改写为考尔Ⅰ型或考尔Ⅱ型的形式;

③对照展开式,确定网络各参数值。

方法对比:

福斯特Ⅰ型电路为单个L、C结构或LC的并联网络串联而成,因此,在以此型电路结构进行设计时,分析网络函数的阻抗零极点会较为直观;相对应的,福斯特Ⅱ型为单个L、C结构或LC的串联网络并联而成,因此,在以此型电路结构进行设计时,分析其网络函数的导纳零极点会较为直观。

考尔Ⅰ型电路要求将阻抗函数的分子与分母按降幂排列,而考尔Ⅱ型电路结构要求将分子与分母按升幂排列。在移除极点方面,考尔Ⅰ型移去s处的极点,而移去s处极点得到的电路结构是考尔Ⅱ型

1.1.2RC单口网络设计

RC网络的设计与LC网络的设计类似,区别在于以电阻代替了其中的电感元件,需要考虑的元件有所不同,但是LC网络的设计方法仍适用,值得注意的是,RC网络在实际中的应用也更为普遍。

RC福斯特Ⅰ型电路的设计步骤与LC的设计步骤是相类似的,不同在于将电感替换为电阻后将网络函数以部分分式求和的形式展开。以此结构设计的电路结构为C、RC并联结构与R的串联,其中R的值与s无关,因此只需要令网络函数中的s,即可使其他项为零,从而方便得到R的值。

RC福斯特Ⅱ型电路的设计与LC的方法相类似,最终得到的网络结构为R0、RC串联结构与C的并联,其中,R0可以通过令s=0使其他项为0从而方便得到R0的值。

1.2 无源双口网络的综合

双口网络的应用很广,常用于信号的传送、放大、延迟和滤波等。对双口网络,通常给定的是其转移函数,但是最后要根据由转移函数求得的网络参数进行实现,一般地,使用的参数是Z参数或者Y参数[1]。

由阻抗和导纳函数进行双口网络的实现,需要充分考虑各种条件:

⑴阻抗参数或导纳参数是否满足:是s的实系数有理函数,并且极点在s的左半平面和虚轴上,且虚轴上的极点为单阶;

⑵满足实部条件与留数条件。

对于双口网络而言,转移函数是表征其传输性能的一个重要函数。而转移函数的零点又称传输零点,是非常重要的概念,双口网络就以此为基础进行综合[2]。由于梯形网络是一种广泛应用的网络,因此,文中主要总结梯形网络的综合方法。

对于单端接载LC梯形网络,以实现网络的电压转移函数Ku为例,实现方法有:1)用LC梯形网络准确地实现导纳参数Y22,从而保证Ku极点的实现;2)使梯形网络的串臂阻抗极点和并臂阻抗零点准确地等于所要求的传输零点,从而保证Ku零点的实现[3]。对于只有s=0和s=1处传输零点的梯形电路的每一个臂将只有一个电感或电容,因此可以使用考尔综合法将Y22展开实现所要求的电路;对于具有有限非零传输零点的转移函数,由于通常Y22的零极点不能与Ku的传输零点相匹配,因此要对Y22使用零点移动法进行处理使其具有在传输零点处的极点或是零点才可通过移除技术实现所求的电路。

对于双端接载LC梯形网络,由转移函数求出其网络参数是困难的,为了解决问题,需要辅助函数的加入。双端接电阻LC梯形网络的特性通常用工作传输函数或特征函数来进行描述,但是由于网络综合要由网络参数入手,因此需要借助T(s)与K(s)确定Z参数或Y参数。在确定了双口网络的Z参数和Y参数后,可以用零点移动法对其进行综合。

1.3 小结

无源单口网络设计充分运用了网络函数与频率特性的内在联系,结合福斯特法与考尔法进行设计。需要注意的是,当出现网络函数表达式的分子分母同幂次的情况时,若是直接分式展开,会出现难以实现的负系数。此时,正确的方法应该是对函数进行适当的变形使分子比分母幂次低一次,这样可以避免出现负系数问题。

2、有源网络的设计

上文中总结了无源网络的综合,虽然无源网络有很好的频率选择性,但由于存在电感元件,整个网络体积大、制造成本高,不便于集成化与小型化,而且在低频段品质因数低;对于不包含电感的无源RC网络,其选择性差,不能满足某些工程需要[4]。而随着电子技术的发展,人们找到了不使用电感就可以满足频率特性要求的方法,即将有源元件引入网络中,由此构成的网络就是我们通常说的有源网络。

有源RC网络的综合过程与无源网络类似,首先根据给定的技术要求得到可以实现的系统函数,再对转移函数进行综合,将其实现为有源RC网络。其实现方法有两种:1、有源模拟法:将转移函数以无源网络来实现,实现之后将其中的电感元件以有源器件(电容和回转器的组合)取代;2、级联法:将高阶的转移函数分解成低阶的转移函数之积,分别实现低阶的转移函数,再将它们进行级联,得到所要求得的网络。虽然级联型有源滤波器的设计技术已经非常成熟,但是二阶节电路的综合仍是尚未解决的难题,根据有源网络综合理论,有学者提出了一种有源电路新拓扑结构的综合方法,通过给定的电压符号传输函数,使用关联无穷变量来描述被综合电路中节点导纳矩阵和端口导纳矩阵中的零子和任意子,通过导纳矩阵的扩展导出电路的拓扑结构[5]。需要注意的是,由于环境因素对于元件性能的影响,元件的参数会偏离其设计值,造成网络性能上的变化,这种变化所带来的影响在有源网络中要重于在无源网络中的影响,因此,引入了灵敏度的概念来度量这种影响。

参考文献

[1] 俎云霄,吕玉琴.网络分析与综合[M].北京:机械工业出版社,2007:168~177.

[2] 俎云霄,吕玉琴.网络分析与综合[M].北京:机械工业出版社,2007:177~178.

[3] 俎云霄,吕玉琴.网络分析与综合[M].北京:机械工业出版社,2007:179~185.

组合电路的设计步骤范文第6篇

(1)学生对课程学习缺乏兴趣。主要原因是:①学生对计算机专业的相关情况了解不全面,通常认为该课程不属于计算机的课,是否学好都无关紧要;②学生因对专业知识、电学知识的陌生而产生消极心理,失去课程学习的兴趣。(2)学生的基础参差不齐,而课程概念较多、内容较抽象、逻辑性较强。对实际电路环境有概念的学生,学习兴趣可能建立得较快;但对相关概念空白的学生就会感到课程难懂、难学,继而失去学习的兴趣。(3)先修课程的教学目的不明确,涉及到的课程相关知识掌握不到位,如大学物理中关于电学的物理现象及概念、高等数学中复数的计算方法等等,学生学过也不知所以然;(4)课程内容不合理且偏多,涵盖了基本电路分析、模拟电路分析及数字电路分析三大内容板块,有限的课时需要更合理地统一这三方面知识;(5)教材内容都较经典,与时俱进的实际内容较难体现,学习枯燥感会由此而生。(6)实验教学内容太过于详细,实验的目的无法体现,对知识的理解仅限于表面。

2合理分配教学内容,建立正确教学方法

结合我校计算机专业教学计划,课程的教学课时定为64课时,其中理论课时为48课时,实验课时16课时。课时有限,教学内容应围绕专业的培养计划进行优化选择和重新组织,保证知识的系统性和完整性基础上突出能力培养,增加教学内容的关联性,涉及先修课程的内容以定义式形式给出,充实一些与专业密切相关的内容。

2.1关于理论教学

理论知识是课程实践的基础,成为课程教学中的一个重要环节。电子技术课程涵盖了三大板块知识,理论教学的内容根据专业的特点和需要进行取舍,依据职业岗位对技能和知识的实际需要,重点体现够用,建立合理的知识结构,淡化知识的学术性和理论性;教学思路上遵循电路器件特性、电路处理方法及电路分析方法三条主线,有针对性地选择教学例题,提高教学效果,明确教学目的。

2.1.1基本电路分析

教学内容应强化电路基本概念及电路基本分析方法。电路基本概念主要讲解认识电源和电路基本元件及电路中参考方向学习和识别的意义;电路基本分析方法主要讲解电路基本定律的内容及应用,选择性地讲解基尔霍夫定律、叠加原理及戴维南定理,以例题的方式说明定律的内容及应用,有针对性地建立正确的电路分析方法,对定律的数学证明不作说明。教学中注意引导学生构建正确的学习方法,学会看图,分析电路中的元件和电源,区分电路的类型,确定电路的分析方法,以直流电源作用下的电路作为电路分析方法的学习,后续电路的电源可能不同,但电路经过处理后的目的就是要采用直流电源作用下的电路分析方法,后续电路中重点学习的就是电路如何进行处理;如交流电源作用下的电路首先解决的是如何将电压、电流相量化及元件特性相量关系的建立。基本电路分析中主要涉及的是电路的计算,电源以直流、交流为主,教学例题中可以引入模拟电路需要解决的问题,对模拟电路中出现的元器件可以作为已知条件给出,如二极管、三极管、运算放大器,有机统一基本电路分析与模拟电路分析二方面内容,建立明确的学习目的。本篇安排8课时,其中电路基本概念约3.5课时,电路基本分析方法约4.5课时。

2.1.2模拟电路分析

教学内容主要包含三个元件二极管、三极管及运算放大器的特性说明及元件应用电路分析。二极管中讲解半导体尤其是杂质半导体的特点,二极管的截止和导通工作状态及对应等效方法,以整流电路及数字电路的基本门电路作为教学例题,建立含二极管电路的处理方法及基本分析思路。三极管中讲解器件的结构特点和工作区域,放大区放大的原理及电压放大电路的组成和性能指标的计算,工作在饱和区和截止区的器件在数字电路典型集成器件与非门中的分析;教学例题主要解决放大状态下三极管管脚、管型的判别,小信号作用下含三极管电路的微变等效电路处理方法,以及分压式偏置下电压放大电路静态、动态指标的计算。运算放大器作为直接耦合多级放大电路讲解如何削弱零点漂移现象,淡化其内部结构,突出器件的外部输入输出特性及线性和非线性工作区域,通过说明扩大其线性区域施加负反馈条件讲解反馈的概念、类型及判别方法;教学例题主要构建含运算放大器的电路处理方法及分析思路,如线性工作区域的器件使用在模拟信号运算中的功能,非线性工作区域的器件组成实用的电压比较器,传感器输出电路中运放的放大作用等。本篇安排18课时,其中二极管4课时、三极管8课时、运算放大器6课时。

2.1.3数字电路分析

教学内容需淡化数字电路逻辑器件的内部结构及工作原理,重视外部逻辑功能的分析,包括数字电路分析基础、组合逻辑电路的分析和时序电路的分析三大部分。数字电路分析基础中教学内容涵盖数制、码制的概念及其转换方法,逻辑函数的概念及化简方法和意义,基本逻辑门的逻辑功能,数字电路分析方法;由于数字电路的信号源与模拟电路的信号源完全不同,尤其强化分析方法的讲解。组合逻辑电路的分析重点教学的内容包括常用组合逻辑器件的外特性,组合逻辑电路的分析与设计方法;以设计方法设计实用的组合电路如加法器、编码器等,建立中规模数字器件的概念,认识常用中规模集成器件,再讲解中规模组合器件的应用,教学内容因此组成一条清晰的知识连线;教学例题可以偏向与专业密切联系的内容,如计算机中加法器、计算机键盘编码电路、存储器中译码器应用等。时序电路的分析教学内容首先要充分体现时序电路与组合电路的区别,包括电路中组成器件的逻辑特性不同、分析方法的特点等,主要讲解触发器的外部逻辑特性,仅基本RS触发器分析其内部结构以说明触发器中复位与置位功能,其余触发器仅说明其外部的逻辑功能,摈弃其内部枯燥的结构原理说明;以分析触发器组成的计数器电路讲解时序电路的分析方法,同样可以适用其他电路如寄存器电路的分析,同时也揭示了集成计数器的内部结构及原理和功能,解决任意进制计数器的设计问题;教学例题要体现学习触发器逻辑功能的重要性,时序电路的分析和设计思路,将555定时器作为综合例题分析讲解,包含三极管、运算放大器及触发器。本篇安排22课时,其中数字电路分析基础4课时、组合逻辑电路的分析6课时、时序电路的分析12课时。

2.2关于实验教学

课程实验共8个,安排16课时,包含验证、仪器使用、综合分析及设计项目,基本电路分析实验主要以验证性实验为主,安排4课时;模拟电路分析包含仪器使用、综合分析等项目,安排6课时;数字电路包含验证、综合分析及设计等项目,安排6课时。通过课程实验巩固和加强对理论知识的理解,增加电子技术方面的感性认识及学习兴趣,培养学生对工程问题的基本分析能力、电路的调试技能以及分析和解决工程问题的综合能力,提高学生的工程素质。(1)对验证性和分析性实验给出实验电路和实验内容,由学生根据实验目的结合理论知识自主决定测量量、自拟实验步骤及实验表格;对设计性实验给出设计要求,由学生自行设计实验电路并调试得出结果;转变学生被动学习的局面,培养学生独立思考、独立分析及解决问题能力。(2)实验项目安排上要体现各实验的相关性,内容安排体现从元器件到单元电路再到系统设计。如示波器、信号发生器的使用主要用于电压放大电路及运算电路的实验测试;数字电路器件逻辑功能测试与具体应用电路相结合。以往实验中出现问题时通常有器件本身存在问题,但学生实验前总是忽略器件的好坏,实验中的问题难以入手解决,强调实验的相关性可以开拓学生解决问题的思路,进一步掌握实验中的主动性,并且各实验的目的也更加明确,对课程从理论到实践的学习过程做到循序渐进地完成。(3)丰富实验内容,将实用小电路、电子竞赛试题等应用于实验中,或分析或设计,增加实验的趣味性。课时限制使得实用电路在某个实验中不可能完整实现,但可以将其中的单元电路作为实验的内容,其他部分以模块代替,实现电路的功能。(4)实验前预习内容及实验后的思考问题与实验内容密切关联。实验前的预习可以保证实验的有序进行,进一步理解学习的相关理论知识的应用性,从而提高学习课程理论知识的兴趣;实验后的思考是对实验中的总结、实验中出现问题的解答、实验数据的分析等,培养学生建立综合分析问题的方法及理论联系实际的能力。

3结束语

组合电路的设计步骤范文第7篇

关键词:数字电子技术;逻辑电路;实践教学;软件仿真

中图分类号:G642 文献标识码:B

1引言

“数字电子技术”是计算机专业学生必修的一门专业基础课。本课程的主要目的是使学生掌握数字系统分析和设计的基本知识与原理,熟悉各种不同规模的逻辑器件,掌握各类逻辑电路分析与设计的基本方法,为数字计算机和其他数字系统的硬件分析与设计奠定坚实的基础。

为了使学生能够真正将课本上的理论知识与实际的数字电子技术电路融会贯通,我校“数字电子技术”课程组授课教师在课堂教学的基础上,精心组织、设计该课程的相关实验,让实践教学环节成为理论教学的有力支撑,使学生更好地将理论与实际结合,高效率地吸取本学科的前沿知识。

2实验教学现状

数字电子技术主要包括小、中和大规模数字电路的分析与设计、可编程逻辑器件和现场可编程门阵列器件、数字系统分析与设计。其教学侧重整个电路的逻辑功能及其应用。在以往相当长一段时间内,由于不具备支持大规模实验的设备,数字系统仿真软件也不成熟,因此国内大多高校只基于“SD―2型数字电子技术实验设备”开设了传统的中、小规模电路的实验,均未开设体现现代电子技术的中、大规模电路的实验。这导致本课程的理论不能全面与实验交融,更不能体现现代数字电子技术的核心,显然不利于学生接受该门课的知识,也与计算机技术的发展格格不入。

近年来,多功能专用硬件实验设备的投产给“数字电子技术”课程提供了全方面的基础实验平台,使“数字电子技术”大规模硬件实验的开设具备了基本条件。另外,为了让学生掌握EDA核心技术,一种可行的方式是在PC机上利用VHDL实现硬件功能,仿真其工作过程,根据时间波形图分析、改进和完善逻辑电路。这种软件仿真模式为学生掌握现代技术,适应社会需求提供了极大的帮助。

3实验教学目标

对逻辑变量、逻辑运算、逻辑函数、逻辑电路的感性和理性认知,能描述各种逻辑问题,并基于逻辑设计和物理设计的层次给予实现,这是学生在“数字电子技术”课程中必须达到的水平。为此,授课教师在给学生提供理性认知帮助的基础上,必须精心设计配套的实验课程,让学生通过实践环节透彻感性地掌握教学内容,进而掌握数字逻辑电路的设计与实现方法,以及性能分析、评价的手段。

“数字电子技术”实践教学内容的设计是在验证性实验和开放性课程设计并存、“软硬兼施”的模式下实施的,目标是使学生感性地认识、理解数字逻辑的基本概念、并能灵活运用,还能掌握先进的数字电子技术,实现复杂数字电路的分析和设计。

4实验教学内容

为了使学生全面地了解和掌握数字电子技术,在具体实践中加深对所学理论知识的理解,针对“数字电子技术”课程教学中的重点难点,我们安排了两类实验:基于硬件的验证性实验和基于软件仿真的实验。

4.1硬件实验

硬件实验采用了浙江大学方圆科技有限公司开发生产的“SD-2型数字电子技术教学实验系统”实验箱作为实验平台,用来达到验证性实验的目的。本课程选择开设如下硬件实验。

① 集成逻辑门的测试

实验目的:掌握TTL集成与非门逻辑功能的测试方法;了解TTL与非门主要参数的意义及其测试方法;学习用与非门实现其他逻辑门的逻辑功能。

在这个实验中,要求学生74LS00芯片完成TTL与非门的逻辑功能,高低电压等测试,以及实现与、或、非、异或等逻辑关系,达到感性理解逻辑变量、逻辑运算的目的。

② 利用SSI设计组合逻辑电路

实验目的:掌握用SSI器件设计组合逻辑电路的基本方法;学习用给定的SSI器件设计组合逻辑电路。

在这个实验中,要求学生利用74LS10等小规模芯片实现一个保密电子锁、产品质检器和一个灯控电路,达到掌握小规模组合逻辑设计的能力。

③ 利用MSI设计组合逻辑电路

实验目的:掌握用MSI器件设计组合逻辑电路的基本方法;学习用给定的MSI器件设计组合逻辑电路。

在这个实验中,要求学生利用74LS138、74LS151和74LS283等中规模芯片实现一个数字判断电路、多功能组合逻辑电路和一个代码转换器,达到掌握中规模逻辑设计的能力。

4.2软件仿真实验

如前所述,数字电子技术的硬件实验只能提供中、小规模电路的设计,而且实用数字逻辑器件的电路一般较复杂,连线繁多,学生在实验中极易出错,且难以及时查错,导致实验效率不高,同时无法实现大规模电路的设计,也不能为学生提供掌握现代数字系统设计技术的条件。为此,我们在硬件实验的基础上采用MAX+PLUSⅡ在PC上进行仿真,实现各种规模的数字电路和简易系统。这既能开拓学生的创新设计能力,又方便可行。具体开设的实验分别在验证性实验的后阶段和开放性课程设计阶段完成,具体来说以下实验①②为实验内容,③④⑤为课程设计内容。

① 移位寄存器

实验目的:掌握软件MAX+PLUSⅡ的安装方法;掌握软件的初步使用方法;掌握原理图输入方法;熟悉FPGA设计流程和相关步骤的主要命令;掌握移位寄存器的逻辑功能及其测试方法;学习移位寄存器的应用。

在这个实验中,要求学生在PC机上用MAX+PLUSⅡ验证74LS194芯片的功能,并用来实现环行脉冲分配器、分频器,达到熟练运用MAX+PLUSⅡ的水平。

② 计数译码显示电路

实验目的:进一步熟悉软件的使用和原理图输入法FPGA设计流程;掌握层次设计方法;掌握中规模TTL集成计数器74LS161的逻辑功能及其应用;学习使用74LS48BCD―7段译码/驱动器和共阴极七段显示器;学习设计计数译码显示电路,并了解其计数、译码显示过程。

在这个实验中,要求学生用层次设计方法,先用74LS00、74LS161和74LS48等芯片实现模10的计数器,再级联扩展成模100的计数器,并用十进制显示,达到能设计中规模时序电路的能力。

③ 数字电子钟的设计

实验目的:熟悉使用VHDL文本输入法设计PLD芯片的流程;学习数字电子钟的秒信号源及秒、分、时、星期计时器的设计;学习秒、分、时、及星期译码,显示电路的设计;掌握对波形图的量化分析方法以及调试技术,提高设计能力。

在这个课程设计实践中,让学生主动学习,开拓创新,在老师的指导下掌握方便的VHDL文本设计方法。

④ 控制器的设计

实验目的:掌握控制器的ASM图描述方法;熟练掌握控制器VHDL文件的建立。

在这个课程设计实践中,学生可以利用各种资料学习现成的程序代码,并加以改进后实现自己确定的控制功能,实现大规模集成电路的设计,达到训练学生收集资料和合理运用资料的能力。

⑤ 十字路通管理器

实验目的:进一步掌握ASM图的应用;编写十字路通管理器的VHDL文件;深入理解层次化描述的基本要领;进一步掌握对时间波形图的量化分析方法。

以上课程设计内容只是学生在选题时的一个启发,抛砖引玉,学生还可以自由发挥,结合实际应用和自己的兴趣确定很多实用有效的选题,并完成相应的任务。

5实验教学效果

实践教学环节的实施表明,“数字电子技术”实验课程很受学生欢迎。以上硬软两部分实验将传统简单的数字电子方法和现代先进的数字电子技术有机地结合起来,让学生既深入理解了成熟的理论,又掌握了现代化数字电路的开发技术。特别是基于软件仿真的课程设计,调动学生的自主创新激情,学生将抽象的理论与实际相结合,对书本的理论知识有了更深入、更具体的认识,并掌握了量化分析方法,最后达到从数字逻辑部件整体功能的角度来研究、评价数字系统的目的,这使得学生在学好“数字电子技术”专业基础课后,为后续的课程学习打下坚实的基础。

 本门课程的实践教学从06级学生开始改革实施。06级学生在“数字电子技术”的实践教学环节中表现出强烈的兴趣和积极性,他们按照要求很好地完成了每一个实验。在调查问卷中,学生们对于“数字电子技术”课程的实践教学给予了高度认可。

调查问卷中也体现出学生对软件仿真实验的兴趣和收获大于硬件实验。经分析,原因在于硬件实验平台对实验内容有一定的局限,没有软件仿真实验那么充分的设计和创新空间,也不如仿真实验那么灵活方便。

6结束语

我校“数字电子技术”课程组在教学中,本着理论结合实践的宗旨,突破传统数字电子技术实验平台的局限,

开设各类规模电路的设计,软硬兼施,提供可行的实验环境,设计可操作的实验内容。实施效果表明它很好地支撑了理论教学,有利于培养学生分析问题和解决问题的能力,开拓了学生的创新思维。

下一步我们将着重研究和设计如何把模拟仿真正确的代码、文档下载到CPLD,使其更有设计性和创新性,为“数字电子技术”课程的教学构建更有利的实验平台。

参考文献:

[1] 欧阳星明. 数字逻辑(第二版)[M]. 武汉:华中科技大学出版社,2005.

[2] 汪国强. EDA技术与应用(第二版)[M]. 北京:电子工业出版社,2007.

组合电路的设计步骤范文第8篇

1.1明确任务

再设计电路时,首先要明确电路需要的功能,制定详细的任务书,确定需要的单元电路,星系拟定电路的性能指标,再通过计算电压需要放大的倍数、电路中输入输出电阻的大小,绘制执行流程图,通过设计,将电路所需的成本降到最低,提高每个单元电路、参数的精度,在提高设计电路的可靠性、稳定性的前提下,尽量简化设计电路。

1.2参数计算

计算参数是设计电路必须要进行得步骤,通过计算,来保证电路中各个单元电路的功能指标需要达到的要求,计算参数需要电子技术的相关知识,单元电路的设计需要强大的理论知识的支撑,才能做到炉火纯青。例如,在计算如下放大电路的时候,我们需要计算每个电阻的阻值、以及放大倍数,同一个电路,可能有很多数据,所以要正确的选择数据,注意方法。

1.3绘制电路图

电路设计时,需要将单元电路与整机电路相连,设计完整的具有一定功能的电路图,在连接时,需要注意单元电路间连接的简化,以及最重要的是,电路的电气连接,是否能够导通,实现预定功能。例如,设计单元电路间的级联时,各单元电路设计完成时,还要考虑这些,意在减少浪费,还要注意输入信号、输出信号、控制信号间的关系,同时还要注意一些事项:首先,注意电路图的可读性。绘图时,尽量将主电路图绘制在一张图纸上,其中较为独立的部分单元电路、以及次要部分可以绘制在另一张图上,但是一定要注意图之间的电气端口的连接,是否对应,各图纸间的输入输出端口都要提前做好标记。其次,注意信号流向以图形符号。信号的流向,一般从输入端、信号源开始,从左至右、从上到下,按信号的流向依次连接单元电路。而且,图中要加上适当的说明,如符号的标注、阻值等。最后,注意连接线画法。电路图中,各元件间的连接应为直线,且尽量减少交叉线,连接线的分布应为水平或者垂直,除非应对特殊情况,否则不要化斜线,如图中不可避免的出现交叉,要将连接点用原点表示。

2几种典型单元电路的设计方法

电子电路设计中,单元电路一定要设计合理,否则将会影响整个电路的联通,所以,电气工程师在设计电路时,应该更谨慎的致力于单元电路的设计。

2.1对于线性集成运放组成的稳压电源的设计

稳压电源的设计,一般先让输入电压通过电压变压器,然后进行整流,然后经过滤波电路,成为稳压电路。设计单元电路时,串联反馈式稳压电路可分为几个部分,调整部分、取样部分、比较放大电路、基准电压电路等。这样的设计能够使单元电路具有保护过流、短路电流。

2.2单元电路之间的级联设计

单元电路设计完成之后,还要考虑单元电路间的级联问题。例如,电气特性的相互匹配、信号耦合方式、时序配合、相互干扰等。其中信号耦合方式,还包括:直接耦合、间接耦合、阻容耦合、变压器耦合、光耦合。时序配合的问题,相对比较复杂,需要对每个单元电路的信号进行详细的分析,来确定电路时序。

2.3对于运算放大器电路的设计

运算放大电路在电路设计中十分常用,它能够与反馈网络连接,组成具有特定功能的电路模块,是具有很高放大倍数的单元电路。运放电路的设计,可以通过元器件的组合,也可以通过具有相应功能的芯片构成,设计时对各种参数都要整体权衡,不能盲目的追求某个指标的先进。其中,要引起重视的是,应在消震引脚间接入适当的电容消振尽量避免两级以上的放大级相连。

3结束语