首页 > 文章中心 > 集成电路设计与仿真

集成电路设计与仿真

开篇:润墨网以专业的文秘视角,为您筛选了八篇集成电路设计与仿真范文,如需获取更多写作素材,在线客服老师一对一协助。欢迎您的阅读与分享!

集成电路设计与仿真范文第1篇

建设集成电路设计相关课程的视频教学资源,包括集成电路设计基础理论课程讲授视频、典型案例设计讲解视频、集成电路制造工艺视频等;构建集教师、博士研究生、硕士研究生和本科生于一体的设计数据共享平台。集成电路设计是一项知识密集的复杂工作,随着该行业技术的不断进步,传统教学模式在内容上没法完全展示集成电路的设计过程和设计方法,尤其不能展示基于EDA软件进行的设计仿真分析,这势必会严重影响教学效果。另外,由于课时量有限,学生在课堂上只能形成对集成电路的初步了解,若在其业余时间能够通过视频教程系统地学习集成电路设计的相关知识,在进行设计时能够借鉴共享平台中的相关方案,将能很好地激发学生学习的积极性,显著提高教学效果。

二、优化课程教学方式方法

以多媒体教学为主,辅以必要的板书,力求给学生创造生动的课堂氛围;以充分调动学生学习积极性和提升学生设计能力的目标为导向[3],重点探索启发式、探究式、讨论式、参与式、翻转课堂等教学模式,激励学生自主学习;在教学讲义的各章节中添加最新知识,期末开展前沿专题讨论,帮助学生掌握学科前沿动态。传统教学模式以板书为主,不能满足集成电路设计课程信息量大的需求,借助多媒体手段可将大量前沿资讯和设计实例等信息展现给学生。由于集成电路设计理论基础课程较为枯燥乏味,传统的“老师讲、学生听”的教学模式容易激起学生的厌学情绪,课堂教学中应注意结合生产和生活实际进行讲解,多列举一些生动的实例,充分调动学生的积极性。另外,关于集成电路设计的书籍虽然很多,但是在深度和广度方面都较适合作为本科生教材的却很少,即便有也是出版时间较为久远,跟不上集成电路行业的快速发展节奏,选择一些较新的设计作为案例讲解、鼓励学生浏览一些行业资讯网站和论坛、开展前沿专题讲座等可弥补教材和行业情况的脱节。

三、改革课程考核方式

改革课程考核、评价模式,一方面通过习题考核学生对基础知识和基本理论的掌握情况;另一方面,通过项目实践考核学生的基本技能,加大对学生的学习过程考核,突出对学生分析问题和解决问题能力、动手能力的考察;再者,在项目实践中鼓励学生勇于打破常规,充分发挥自己的主观能动性,培养学生的创新意识。传统“一张试卷”的考核方式太过死板、内容局限,不能充分体现学生的学习水平。集成电路设计牵涉到物理、数学、计算机、工程技术等多个学科的知识,要求学生既要有扎实的基础知识和理论基础,又要有很好的灵活性。因此,集成电路设计课程的考核应该是理论考试和项目实践考核相结合,另外,考核是评价学生学习情况的一种手段,也应该是帮助学生总结和完善课程学习内容的一个途径,课程考核不仅要看学生的学习成果,也要看学生应用所学知识的发散思维和创新能力。

四、加强实践教学

在理论课程讲解到集成电路的最小单元电路时就要求学生首先进行模拟仿真实验,然后随着课程的推进进行设计性实验,倡导自选性、协作性实验。理论课程讲授完后,在暑期学期集中进行综合性、更深层次的设计性实验。集成电路设计是一门实践性很强的课程,必须通过大量的项目实践夯实学生的基础知识水平、锻炼学生分析和解决问题的能力。另外,“设计”要求具备自主创新意识和团队协作能力,应在实践教学中鼓励学生打破常规、灵活运用基础知识、充分发挥自身特点并和团队成员形成优势互补,锻炼和提升创新能力和团队协作能力。

五、总结

集成电路设计与仿真范文第2篇

在非微电子专业如计算机、通信、信号处理、自动化、机械等专业开设集成电路设计技术相关课程,一方面,这些专业的学生有电子电路基础知识,又有自己本专业的知识,可以从本专业的系统角度来理解和设计集成电路芯片,非常适合进行各种应用的集成电路芯片设计阶段的工作,这些专业也是目前芯片设计需求最旺盛的领域;另一方面,对于这些专业学生的应用特点,不宜也不可能开设微电子专业的所有课程,也不宜将集成电路设计阶段的许多技术(如低功耗设计、可测性设计等)开设为单独课程,而是要将相应课程整合,开设一到二门集成电路设计的综合课程,使学生既能够掌握集成电路设计基本技术流程,也能够了解集成电路设计方面更深层的技术和发展趋势。因此,在课程的具体设置上,应该把握以下原则。理论讲授与实践操作并重集成电路设计技术是一门实践性非常强的课程。随着电子信息技术的飞速发展,采用EDA工具进行电路辅助设计,已经成为集成电路芯片主流的设计方法。因此,在理解电路和芯片设计的基本原理和流程的基础上,了解和掌握相关设计工具,是掌握集成电路设计技术的重要环节。技能培训与前瞻理论皆有在课程的内容设置中,既要有使学生掌握集成电路芯片设计能力和技术的讲授和实践,又有对集成电路芯片设计新技术和更高层技术的介绍。这样通过本门课程的学习,一方面,学员掌握了一项实实在在有用的技术;另一方面,学员了解了该项技术的更深和更新的知识,有利于在硕、博士阶段或者在工作岗位上,对集成电路芯片设计技术的继续研究和学习。基础理论和技术流程隔离由于是针对非微电子专业开设的课程,因此在课程讲授中不涉及电路设计的一些原理性知识,如半导体物理及器件、集成电路的工艺原理等,而是将主要精力放在集成电路芯片的设计与实现技术上,这样非微电子专业的学生能够很容易入门,提高其学习兴趣和热情。

2非微电子专业集成电路设计课程实践

根据以上原则,信息工程大学根据具体实际,在计算机、通信、信号处理、密码等相关专业开设集成电路芯片设计技术课程,根据近两年的教学情况来看,取得良好的效果。该课程的主要特点如下。优化的理论授课内容1)集成电路芯片设计概论:介绍IC设计的基本概念、IC设计的关键技术、IC技术的发展和趋势等内容。使学员对IC设计技术有一个大概而全面的了解,了解IC设计技术的发展历程及基本情况,理解IC设计技术的基本概念;了解IC设计发展趋势和新技术,包括软硬件协同设计技术、IC低功耗设计技术、IC可重用设计技术等。2)IC产业链及设计流程:介绍集成电路产业的历史变革、目前形成的“四业分工”,以及数字IC设计流程等内容。使学员了解集成电路产业的变革和分工,了解设计、制造、封装、测试等环节的一些基本情况,了解数字IC的整个设计流程,包括代码编写与仿真、逻辑综合与布局布线、时序验证与物理验证及芯片面积优化、时钟树综合、扫描链插入等内容。3)RTL硬件描述语言基础:主要讲授Verilog硬件描述语言的基本语法、描述方式、设计方法等内容。使学员能够初步掌握使用硬件描述语言进行数字逻辑电路设计的基本语法,了解大型电路芯片的基本设计规则和设计方法,并通过设计实践学习和巩固硬件电路代码编写和调试能力。4)系统集成设计基础:主要讲授更高层次的集成电路芯片如片上系统(SoC)、片上网络(NoC)的基本概念和集成设计方法。使学员初步了解大规模系统级芯片架构设计的基础方法及主要片内嵌入式处理器核。

丰富的实践操作内容1)Verilog代码设计实践:学习通过课下编码、上机调试等方式,初步掌握使用Verilog硬件描述语言进行基本数字逻辑电路设计的能力,并通过给定的IP核或代码模块的集成,掌握大型芯片电路的集成设计能力。2)IC前端设计基础实践:依托Synopsys公司数字集成电路前端设计平台DesignCompiler,使学员通过上机演练,初步掌握使用DesignCompiler进行集成电路前端设计的流程和方法,主要包括RTL综合、时序约束、时序优化、可测性设计等内容。3)IC后端设计基础实践:依托Synopsys公司数字集成电路后端设计平台ICCompiler,使学员通过上机演练,初步掌握使用ICCompiler进行集成电路后端设计的流程和方法,主要包括后端设计准备、版图规划与电源规划、物理综合与全局优化、时钟树综合、布线操作、物理验证与最终优化等内容。灵活的考核评价机制1)IC设计基本知识笔试:通过闭卷考试的方式,考查学员队IC设计的一些基本知识,如基本概念、基本设计流程、简单的代码编写等。2)IC设计上机实践操作:通过上机操作的形式,给定一个具体并相对简单的芯片设计代码,要求学员使用Synopsys公司数字集成电路设计前后端平台,完成整个芯片的前后端设计和验证流程。3)IC设计相关领域报告:通过撰写报告的形式,要求学员查阅IC设计领域的相关技术文献,包括该领域的前沿研究技术、设计流程中相关技术点的深入研究、集成电路设计领域的发展历程和趋势等,撰写相应的专题报告。

3结语

集成电路设计与仿真范文第3篇

关键词:专用集成电路设计;创新;教学;探讨

中图分类号:G424文献标识码:A文章编号:1009-3044(2010)04-0920-02

Discussing about How to Teach the "Design of Application-Specific Integrated Circuit" Course

WU Yu-hua

(Beijing Electronic Science and Technology Institute, Beijing 100070, China)

Abstract: "Design of Application-Specific Integrated Circuit" is an important specialty course. In this paper, we will discuss the teaching technique about this course of non-micro-electronics specialty. Combining the teaching practice, several teaching experiences about "Design of Application-Specific Integrated Circuit" course are summarized.

Key words: design of application-specific integrated circuit; innovate; teaching; discuss

《专用集成电路设计》是电气信息类专业开设的一门比较重要的专业课。为了培养宽口径、基础扎实的集成电路设计人才,满足IC行业对人才的大量需求,无论是在微电子专业,还是在相关的其他电气信息类专业,不少重点高等院校都已经开设了本门课程。在学生已经掌握了模拟电子技术、数字电子技术和一定的晶体管原理知识的基础上,通过学习《专用集成电路设计》课,进行ASIC设计理论的学习和实践的强化,进一步掌握集成电路和电路系统的设计知识,提高集成电路设计能力,增长集成电路设计经验;通过理论教学和实践教学,来加强电气信息类专业学生的电路设计基础、版图设计基础以及集成电路设计各环节的验证知识等,培养学生在集成电路设计方面的研究兴趣,为后续课程的学习和进一步的深造打好基础。

由于专业建设和人才培养的需要,北京电子科技学院同样开设了《专用集成电路设计》的专业选修课,授课对象是电子信息工程专业的本科生,由于非微电子的专业背景原因,他们并不具备足够的半导体物理、晶体管原理等知识,因此在本课程的教学过程中,必然要针对具体对象,调整教学内容,创新教学思路,加强教学研究,找到一种适合于非微电子专业本科生的教学思想和教学方法。通过教学实践,学生对于课程组在这一课程中的创新、探索和具体的教学方法比较认可。这里把我们在《专用集成电路设计》课教学实践中的初步探索做一些总结,希望与大家分享。

1 结合实际合理设置授课内容,以学生能够接受为目标

电子信息工程专业的学生在学习《专用集成电路设计》课程之前,已经系统地学习了《电路分析》、《模拟电子技术》、《数字电子技术》、《EDA技术》等有关电子技术和电路系统的课程,对于电路系统的设计已经有了一定的理解,并进行过比较系统的动手实践训练,为进一步学习《专用集成电路设计》课程打下了比较坚实的知识基础和实践基础。但是由于专业背景的原因,该专业不太可能只是为了《专用集成电路设计》课而专门开设《半导体物理》、《晶体管原理》等这些在微电子专业才有的课程,因此,与微电子专业相比,电子信息工程专业的本科生欠缺有关晶体管原理和半导体工艺等方面的必要知识。在设置授课内容时,必然要考虑到这一点,总的原则应当是以学生能够接受、但又不应该过于轻松接受为目标,而且要尽量避免与《EDA技术》等课程的知识重复。

根据我们的课程内容设置原则,将《专用集成电路设计》课的讲授内容分为以下几章:第一章:ASIC设计概述;第二章:CMOS逻辑;第三章:ASIC库设计;第四章:ASIC的前端设计;第五章:ASIC的后端设计;第六章:可测性设计技术;第七章:SOC设计技术简介。在各章的讲授中,占用课时较多的分别是第二章、第三章和第五章。在讲授时强调培养学生的系统设计能力,使学生对专用集成电路的设计、制造、测试等一整套流程有一般性、整体性的了解,建立专用集成电路的基本概念和方法,了解IC领域的最新发展趋势,激发学生潜在的对集成电路前、后端设计的兴趣。为了配合理论教学,提升教学效果,还设置了合适的实验教学内容。

2 注重实验教学效果,以培养动手实践能力为目标

集成电路设计类课程除了理论教学以外,实验教学尤为重要,因为这类课程对学生的训练重点正是在于动手实验,提前接触到未来在进一步的研究和工作中可能会应用到的一些软件工具、设计流程以及设计技巧等,这样才能促进学生理论与实践相结合,真正帮助学生掌握ASIC设计技术。因此本课程要更加注重实验教学效果,着力培养学生的动手实践能力,进而使学生能够更加准确、具体和形象地掌握在课堂上学到的理论知识。根据这一原则,经过试用修订,我们专门编印了《专用集成电路设计实验指导书》,根据大纲的变化,使用工具版本的提高,目前已经编印了2007版和2009版的实验指导书,共设计了五个实验,具体是:实验一:IC设计工具的使用;实验二:单元电路的前端设计;实验三:标准单元的版图绘制与验证;实验四:四位加法器和减法器ASIC的设计;实验五:计数器ASIC的设计。每个实验3学时,其中实验二、实验四和实验五为综合性、设计性实验。

选用一种合适的集成电路设计工具是顺利进行实践教学的关键。我们选用了美国Tanner Research公司开发的一种优秀集成电路设计工具――Tanner Tools Pro,它虽然在功能上不如Cadence、Synopsys等大型工具强大,但它的最大优点是成本低,可以在PC机上使用,而且图形处理速度快,编辑功能强,便于学习,使用方便,特别适用于高校进行相关的教学和科研工作。Tanner Pro工具在美国和台湾的很多大学中早已被广泛应用,台湾不少IC设计企业也在使用Tanner Pro工具。该工具较新版本为Tanner Tools Pro 13.0,主要包含了S-EDIT(原理图编辑)、L-EDIT(版图编辑)、T-SPICE(电路仿真)、W-EDIT(波形观察)和LVS(版图与原理图比对)等几个功能不同的子工具,满足了集成电路设计从前端到后端、设计验证的一系列过程的需要,完全可以适用于《专用集成电路设计》课程的实践教学。通过我们在课程实验、毕业设计等实践教学环节的使用,发现学生对这个工具上手快、掌握熟,对于以后使用其他的IC设计工具也有一定的帮助,而且培养了他们将来涉足IC设计领域的兴趣和信心。图1是学生在实践教学中得到的一个版图设计结果。

3 适当讲授最新技术进展,以让学生跟上行业发展脚步为目标

我们都知道,集成电路设计技术、制造工艺等的发展速度飞快,遵循着集成电路最小特征尺寸以每三年减小70%的速度下降、集成度每年翻一番和价格每两年下降一半的著名的摩尔定律,集成电路的设计和制造技术发展日新月异。因此,在《专用集成电路设计》的教学过程中,必须要根据教学大纲的要求,在系统讲授已经设置好的教学内容的前提下,结合具体授课内容,适当讲授最新技术进展,以期让学生跟上集成电路设计行业发展的脚步,并不断将这些新技术、新进展、新方法、新工具、新工艺融入到授课内容中,做到授课内容常讲常新。其实这除了让学生可以接受到最新的知识和了解到该领域最新进展之外,同时也是一个教学相长的过程,对于教师的教学和相关科研也是一种无形的促进,可以督促教师不断地跟踪与IC设计、制造相关的最新研究成果,并进行精心的组织,将这些成果有机融入到课程教学中,做到授课内容的不断更新,而且这样也才能够避免一份讲稿多年重复使用,保证教师在教学中的激情,增强教学效果。

在这里仅仅举一个具体例子。在一次讲授到集成电路工艺的内容时,作者为同学们讲授了不断发展的集成电路工艺水平,以及所遇到的工艺发展瓶颈对于摩尔定律的挑战,还具体讲到了Intel公司新推出的0.45nm工艺的CPU,它采用了大大不同于以往的工艺方法,这次工艺变革可以称得上是“拯救摩尔定律”的一大技术进展。本次课后,不少同学纷纷通过互联网等来查阅这一最新工艺的具体情形,表现出了浓厚的学习兴趣。

4 创新课程考查方式,以激发学生进一步的研究兴趣为目标

一门课程的考查方式如何,对于这门课程能不能按照教师的预想,达到既定的最终教学目的,有着比较重要的作用。传统的一张试卷去“考”出学生学习效果的方式虽然比较简单省事,但却过于单调,虽然从某种程度上能够考查出学生对这门课程知识的掌握程度,但是对于激发学生在学完这门课程之后,对本学科、本领域进行进一步研究的兴趣却作用不大。由于自从接受学校教育以来经历了无数次的考试,不少学生厌烦考试的情绪比较严重,恨不得考完后把教材、作业、笔记等都马上丢弃,这是现实存在的、我们必须得承认的事实。从某种意义上说,通过考试来考查学生的学习,有时对最终教学目标的实现会起到一定的反作用。而且单纯考试的方式也很难发现学生对于这门课、这个领域、这个行业的独特想法和创新思路。

作者在《专用集成电路设计》教学过程中,结合课程的专业特点,积极探索并实践了采用提交论文和现场答辩相结合的课程考查方式,即在课程讲授到二分之一左右时,布置给学生论文题目,对于论文的范围、参考文献的篇数、论文的格式和字数等做出明确而具体的规范,要求学生在最后一次课之前提交自己的论文,做好答辩ppt,并利用专门的时间集中进行答辩,每位学生对自己准备的论文,进行5分钟左右的讲解,并接受教师和其他学生的提问。通过创新课程考查方式,提交论文和现场答辩相结合,让学生在准备论文和答辩材料的过程中对专用集成电路设计的有关内容和工艺、方法等有了更加深刻的理解,并有了一个系统的知识梳理过程,现场答辩的方式也更能够展现学生对于集成电路设计的一些独特的思路和创新性的理解,学生在经历这一过程时,也促使自己积极思考,主动研究,努力去探索和集成电路、微电子学有关的一些研究方法和最新进展,激发自己在完成本门课程的学习后、甚至是大学毕业后进行进一步研究的兴趣和信心;另外还在这个过程中提升了学生的论文写作能力、科学研究能力。

5 结束语

《专用集成电路设计》课(或者其他名称的类似课程)在不少设有微电子学专业的重点大学中开设较为普遍,但在没有微电子学专业的高校特别是非重点高校中开设并不多,对于该课程教学实践中的一些具体的方法研究和探讨需要更加深入。作者在教学实践中,紧密围绕本校、本专业的培养目标,以授课对象为主体,遵循课程的教学规律和科学研究规律,选择合适的授课内容和教学方法,并且不断地对此进行探索和研究,收到了初步的教学效果。当然,教学创新永无止境,教学方法的研究和探讨不能止步,作为一名年轻教师,在今后的教学实践中,作者将在加强学习以及与同行交流的前提下,进一步拓宽和创新教学思路,探索和完善教学模式,研究和更新教学内容,学习和探讨教学技巧,敢于创新,善于创新,真正做到教好书,育好人。

参考文献:

[1] Michael John Sebastian Smith.专用集成电路[M].虞惠华,等,译.北京:电子工业出版社,2004.

[2] 路而红.专用集成电路设计与电子设计自动化[M].北京:清华大学出版社,2004.

[3] 廖裕评,陆瑞强.集成电路设计与布局实战指导[M].北京:科学出版社,2004.

集成电路设计与仿真范文第4篇

【关键词】EDA技术;QuartusⅡ;电子设计;VHDL

1.引言

集成电路设计不断向超大规模、低功率、超高速方向发展,其核心技术是基于EDA技术的现代电子设计技术。EDA(Electronic Design Automation,电子设计自动化)技术,以集成电路设计为目标,以可编程逻辑器件(如CPLD、FPGA)为载体,以硬件描述语言(VHDL、VerilogHDL)为设计语言,以EDA软件工具为开发环境,利用强大计算机技术来辅助人们自动完成逻辑化和仿真测试,直到既定的电子产品的设计完成。其融合了,大规模集成电路制造技术、计算机技术、智能化技术,可以进行电子电路设计、仿真,PCB设计,CPLD/FPGA设计等。简言之,EDA技术可概括为在开发软件(本文用QuartusⅡ)环境里,用硬件描述语言对电路进行描述,然后经过编译、仿真、修改环节后,最终下载到设计载体(CPLD、FPGA)中,从而完成电路设计的新技术。

以EDA技术为核心的现代电子设计方法和传统的电子设计方法相比有很大的优点,两种设计方法的流程如下图:

图1 传统电子设计流程图

图2 基于EDA的现代电子设计流程图

比较两种设计方法,基于EDA技术的现在电子设计方法采用自上而下的设计方法,系统设计的早期便可进行逐层仿真和修改,借助计算机平台,降低了电路设计和测试的难度,极大程度地缩短了电子产品的设计周期、节约了电子产品的设计成本。DEA技术极大的促进了现代电子技术的发展,已成为现代电子技术的核心。

2.QuartusⅡ软件开发环境介绍

QuartusⅡ软件是Alter公司开发的综合性EDA工具软件,提供了强大的电子设计功能,充分发挥了FPGA、CPLD和结构化ASIC的效率和性能,包含自有的综合器及仿真器,支持原理图、VHDL、VerilogHDL等多种设计输入,把设计、布局布线和验证功能以及第三方EDA工具无缝的集成在一起。QuartusⅡ与Alter公司的上一代设计工具MAX+plusⅡ具有一定的相似性,和继承性。使熟悉MAX+plusⅡ开发环境的设计人员可以快速熟练应用。相比之下,QuartusⅡ软件功能更为强大、设计电路更为便捷,支持的器件更多。增强了自动化程度,缩短了编译时间,提升了调试效率。从而缩短了电子产品的设计周期。利用QuartusⅡ软件进行电子电路设计流程如图3所示。

图3 QuartusⅡ设计流程图

3.在QuartusⅡ环境下的EDA方法设计实例

下面本文在QuartusⅡ环境下,以下降沿D触发器的设计为例来说明基于EDA技术的现代电子设计方法(本文以QuartusⅡ9.0为例)。

3.1 在计算机上安装QuartusⅡ9.0版本软件

QuartusⅡ9.0对计算机硬件配置要求不高,现阶段的主流配置完全可以满足其要求。QuartusⅡ9.0安装过程很简单,按照提示操作即可。

3.2 D触发器功能分析

从D触发器真值表可以看出,当时钟信号clk不论是高电平还是低电平,其输出q的状态都保持不变,当时钟信号clk由高电平变为低电平时,输出信号q和输入信号d的状态相同。

表1 D触发器真值表

输入d 时钟clk 输出q

× 0 不变

× 1 不变

0 下降沿 0

1 下降沿 1

3.3 D触发器的VHDL描述设计

下面给出D触发器的VHDL描述:

library ieee;

use ieee.std_logic_1164.all;

entity dff1 is

port(d,clk:in std_logic;

q:out std_logic);

end dff1;

architecture bhv of dff1 is

begin

process(clk)

begin

if clk='1' then

q<=d;

end if;

end process;

end bhv;

上面程序在QuartusⅡ9.0环境下,经保存后进行编译,然后可进行波形仿真。

3.4 设计仿真

VHDL描述程序编译后,建立矢量波形文件,之后可以进行波形仿真,得到如下波形仿真图(如图4所示):

图4 D触发器仿真波形图

此仿真波形符合D触发器真值表,说明电路设计正确。如果波形仿真不符合真值表,说明电路设计有问题,此时可以回到3.3步骤修改VHDL描述程序,直至仿真结果正确为止。

波形仿真正确后,可得出相应的逻辑电路图,D触发器电路图(如图5所示)如下:

图5 D触发器逻辑电路图

3.5 配置下载测试

整个电路设计、编译仿真无误后,按照FPGA开发板说明书进行引脚锁定,重新进行编译后,然后通过下载电缆线,将产生的sof文件下载至FPGA中,对电路进行测试、验证,完成电路的最终设计。

4.结束语

本文以QuartusⅡ开发环境下的实际电路设计为例,介绍了基于EDA技术的现代电子设计方法。通过设计过程可知,DEA技术在现代电子电路设计中的重要性。在电子技术飞速发展的信息时代,EDA技术也在不断发展。电子产品设计者有必要熟练掌握硬件描述语言、可编程逻辑器件以及各种主流软件开发环境,这样才可以在最短的时间内完成高质量的电子产品设计任务。

参考文献

[1]阎石.数字电子技术基础[M].北京:高等教育出版社(第五版),2006.

[2]刘江海.EDA技术[M].武汉:华中科技大学出版社,2009.

集成电路设计与仿真范文第5篇

【关键词】集成电路 理论教学 改革探索

【基金项目】湖南省自然科学基金项目(14JJ6040);湖南工程学院博士启动基金。

【中图分类号】G642.3 【文献标识码】A 【文章编号】2095-3089(2015)08-0255-01

随着科学技术的不断进步,电子产品向着智能化、小型化和低功耗发展。集成电路技术的不断进步,推动着计算机等电子产品的不断更新换代,同时也推动着整个信息产业的发展[1]。因此,对集成电路相关人才的需求也日益增加。目前国内不仅仅985、211等重点院校开设了集成电路相关课程,一些普通本科院校也开设了相关课程。课程的教学内容由单纯的器件物理转变为包含模拟集成电路、数字集成电路、集成电路工艺、集成电路封装与测试等[2]。随着本科毕业生就业压力的不断增加,培养应用型、创新型以及可发展型的本科人才显得日益重要。然而,从目前我国各普通院校对集成电路的课程设置来看,存在着重传统轻前沿、不因校施教、不因材施教等问题,进而导致学生对集成电路敬而远之,退避三舍,学习积极性不高,继而导致学生的可发展性不好,不能适应企业的要求。

本文结合湖南工程学院电气信息学院电子科学与技术专业的实际,详细阐述了本校当前“集成电路原理与应用”课程理论教学中存在的问题,介绍了该课程的教学改革措施,旨在提高本校及各兄弟院校电子科学与技术专业学生的专业兴趣,培养学生的创新意识。

1.“集成电路原理与应用”课程理论教学存在的主要问题

1.1理论性强,课时较少

对于集成电路来说,在讲解之前,学生应该已经学习了以下课程,如:“固体物理”、“半导体物理”、“晶体管原理”等。但是,由于这些课程的理论性较强,公式较多,要求学生的数学功底要好。这对于数学不是很好的学生来说,就直接导致了其学习兴趣降低。由于目前嵌入式就业前景比较好,在我们学校,电子科学与技术专业的学生更喜欢嵌入式方面的相关课程。而集成电路相关企业更喜欢研究生或者实验条件更好的985、211高校的毕业生,使得我校集成电路方向的本科毕业生找到相关的较好工作比较困难。因此,目前我校电子科学与技术专业的发展方向定位为嵌入式,这就导致一些跟集成电路相关的课程,如“微电子工艺”、“晶体管原理”、“半导体物理”等课程都取消掉了,而仅仅保留了“模拟电子技术”和“数字电子技术”这两门基础课程。这对于集成电路课程的讲授更增加了难度。“集成电路原理与应用”课程只有56课时,理论课46课时,实验课10课时。只讲授教材上的内容,没有基础知识的积累,就像空中架房,没有根基。在教材的基础上额外再讲授基础知识的话,课时又远远不够。这就导致老师讲不透,学生听不懂,效果很不好。

1.2重传统知识,轻科技前沿

利用经典案例来进行课程教学是夯实集成电路基础的有效手段。但是对于集成电路来说,由于其更新换代的速度非常快,故在进行教学时,除了采用经典案例来夯实基础外,还需紧扣产业的发展前沿。只有这样才能保证人才培养不过时,学校培养的学生与社会需求不脱节。但目前在授课内容上还只是注重传统知识的讲授,对于集成电路的发展动态和科技前沿则很少涉及。

1.3不因校施教,因材施教

教材作为教师教和学生学的主要凭借,是教师搞好教书育人的具体依据,是学生获得知识的重要工具。然而,我校目前“集成电路原理与应用”课程采用的教材还没有选定。如:2012年采用叶以正、来逢昌编写,清华大学出版社出版的《集成电路设计》;2013年采用毕查德・拉扎维编写,西安交通大学出版社出版的《模拟CMOS集成电路设计》;2014年采用余宁梅、杨媛、潘银松编著,科学出版社出版的《半导体集成电路》。教材一直不固定的原因是还没有找到适合我校电子科学与技术专业学生实际情况的教材,这就导致教师不能因校施教、因材施教。

2.“集成电路原理与应用”课程理论教学改革

2.1选优选新课程内容,夯实基础

由于我校电子科学与技术专业的学生,没有开设“半导体物理”、“晶体管原理”、“微电子工艺”等相关基础课程,因此理想的、适用于我校学生实际的教材应该包括半导体器件原理、模拟集成电路设计、双极型数字集成电路设计、CMOS数字集成电路设计、集成电路的设计方法、集成电路的制作工艺、集成电路的版图设计等内容,如表1所示。因此,在教学实践中,本着“基础、够用”的原则,采取选优选新的思路,尽量选择适合我校专业实际的教材。目前,使用笔者编写的适合于我校学生实际的理论教学讲义,理顺了理论教学,实现了因校施教,因材施教。

表1 “集成电路原理与应用”课程教学内容

2.2提取科技前沿作为教学内容,激发专业兴趣

为了提高学生的专业兴趣,让他们了解“集成电路原理与应用”课程的价值所在,在授课的过程中穿插介绍集成电路设计的前沿动态。如:从IEEE国际固体电路会议的论文集中提取模块、电路、仿真、工艺等最新的内容,并将这些内容按照门类进行分类和总结,穿插至传统的理论知识讲授中,让学生及时了解当前集成电路设计的核心问题。这样不但可以激发学生的好奇心和学习兴趣,还可以提高学生的创新能力。

2.3开展双语教学互动,提高综合能力

目前,我国的集成电路产业相对于国外来说,还存在着相当的差距。要开展双语教学的原因有三:一是集成电路课程的一些基本专业术语都是由英文翻译过来的;二是集成电路的研究前沿都是以英文发表在期刊上的;三是世界上主流的EDA软件供应商都集中在欧美国家,软件的操作语言与使用说明书都是英文的。因此,集成电路课程对学生的英语能力要求很高,在课堂上适当开展双语教学互动,无论是对于学生继续深造,还是就业都是非常必要的。

3.结语

集成电路自二十世纪五十年代被提出以来,经历了小规模、中规模、大规模、超大规模、甚大规模,目前已经进入到了片上系统阶段。虽然集成电路的发展日新月异,但目前集成电路相关人才的学校培养与社会需求存在很大的差距。因此,对集成电路相关课程的教学改革刻不容缓。基于此,本文从“集成电路原理与应用”课程理论教学出发,详细阐述了“集成电路原理与应用”课程教学所存在的主要问题,并有针对性的提出了该课程教学内容和教学方法的改革措施,这对培养应用型、创新型的集成电路相关专业的本科毕业生具有积极的指导意义。

参考文献:

集成电路设计与仿真范文第6篇

关键词 电子科学与技术专业;实习基地;定向培养

中图分类号:G642.0 文献标识码:B

文章编号:1671-489X(2014)02-0102-02

Exploration of School Enterprise Cooperation Mode of Electronic Science and Technology Specialty//Shi Jianxing, Xu Yanbin

Abstract Starting from the characteristics of Electronic Science and technology specialty, the training mode of school enterprise cooperation as a breakthrough point, to improve the students’ practical ability and training directly working talents as the goal, two aspects were summarized from the practice base construction and targeted training, explore the new road of school enterprise cooperation.

Key words electronic science and technology specialty; practice base; targeted training

2000年6月,国务院印发《鼓励软件产业和集成电路产业发展的若干政策》(国发2000〔18号〕),明确提出软件产业和集成电路产业是国家战略性新兴产业,是国民经济和社会信息化的重要基础[1]。大力发展我国集成电路产业和软件产业,是克服我国集成电路人才短缺,抓紧培养集成电路专业人才方面的重大举措。随着集成电路产业的飞速发展,国家和企业对集成电路各类人才的需求越来越多,对人才的要求也越来越高,这些都对电子科学与技术专业的本科教学提出了新的挑战。高等学校在人才培养的模式上必须进行有效的改革,校企合作体制的实施和更深层次的建设是高校人才培养模式改革的重要方面之一。通过校企合作体制的开展和教学质量的不断提高,使毕业生在准备就业的时候不仅具有深厚的理论功底,而且能够学习和掌握相关的设计软件,具有相关工作经验和解决实际问题的能力,了解行业背景和企业需求,为培养直接上岗型人才打下了良好的基础。

1 学校目前存在的问题

电子科学与技术专业是为国家和社会培养集成电路产业人才的重要专业分类。河北大学电子科学与技术专业的学生主要学习集成电路工艺和集成电路设计两大类课程,其中集成电路设计又包括电路设计和版图设计。通过两年的专业基础课和专业课的讲授,学生可以了解和掌握集成电路制造过程中的各种工艺加工工序(如硅片的清洗、氧化、光刻和扩散等)、集成电路中常用的设计方法(如全定制、半定制、CPLD和FPGA等)和集成电路基本单元的版图结构(如电阻、电容、BJT管和MOS管等)。虽然在理论授课的基础上也开设了相应的实验课程,但是实验软件落后,以及与社会生产实际相脱节的状态十分严重。这里以集成电路版图实验为例来加以说明。

在集成电路版图实验教学过程中,由于经费的限制,只能通过免费或者低级的版图绘制软件来完成实验教学工作。由于使用软件功能上的落后,没有办法让学生更好地了解如何对版图进行设计规则检查和电学规则检查,不能清楚地知道设计规程检查文件,不明白版图后仿真和电路图与版图的比较过程中需要注意哪些事项,不知道实际生产中相关元件的版图绘制方法,只能简单地绘制出某个元器件的版图,造成学生只是学习到了版图设计中的一点儿皮毛,相关知识匮乏,不能很好地满足企业的需求。

2 校企合作方案探索

实习基地的建立 2003年7月,教育部下发《教育部、科技部关于批准有关高等学校建设国家集成电路人才培养基地的通知》,通知中要求高校要大力推进“国家集成电路人才培养基地”的教学改革[1]。为了培养应用型的集成电路设计人才,了解企业需求,河北大学跟北京芯愿景软件有限公司保定分公司签订了校企合作协议。这既能让学生接触到先进的设计软件,增长自身技能,又能为企业培养所需的人才。

在签订了校企合作协议之后,双方又制定了详细的实习基地实施方案,主要从以下几个方面入手。

首先,暑期毕业实习。学校的毕业生需要在大三之后大四之前的暑期进入实习单位完成毕业实习的工作。实习基地建立之后,企业可以接纳电子科学与技术专业的学生进入单位实习并对学生提供培训。学生要严格按照企业的上下班制度等要求自己。在为期一个月的实习过程中,学生开阔了眼界,增长了见识,掌握了实际生产中相关元件的版图实现方法,明白了集成电路产业中各个环节的作用和实现方法,为就业奠定了良好的基础。

其次,双向选择,深入了解。在暑假毕业实习完成之后,企业对实习的学生进行了综合评定,学生也对企业和集成电路产业有了进一步的认识。通过双向选择的方式,学生可以在大四下学期毕业设计阶段进入实习基地进行更深层次的学习。毕业设计实行双导师制,由学校的指导教师和企业的指导教师共同指导学生完成毕业设计和毕业论文,保障学生能够顺利毕业。这既能增加学生的工作经验,又能为企业本身培养所需的人才。

最后,除本科生的实习以外,还对集成电路工程的硕士生制定了实习计划,并聘请了北京芯愿景软件有限公司的两名高级工程师担任学校的兼职硕士生导师,对集成电路工程专业的硕士生进行联合培养。企业根据不同层次的学生提供不同的培训方案,以满足各自的需要。

定向培养方案 校企合作的目的不仅仅是为了提高学生的能力,为就业打好基础,也是为了为合作企业培养合格的人才,实现双赢。因此,在专业课程教学过程中,根据校企合作协议以及市场对人才培养的需要,高校应该适时地调整教学方案。结合学校的实际情况,在本科教学过程中,从专业课开始到专业选修课,都融入了实际生产中会用到的相关内容。

如在数字集成电路原理与设计以及模拟集成电路原理与设计两个专业课的讲授过程中,凡是涉及集成电路设计方法和版图设计部分的内容时,都融入了芯愿景有限公司的相关书籍或资料作为补充内容,让学生更加直观地了解企业在进行集成电路设计时是如何进行综合考虑的。在数字集成电路综合实验和集成电路CAD课程设计这两门实验课中,采用芯愿景公司的软件和素材进行案例教学,让学生直观地感受到芯片制作过程中模块安排、虚拟结构单元、数字单元、模拟单元、有源器件、无源器件以及布局布线的相关知识,加深对集成电路芯片设计的认识。在集成电路版图设计和集成电路版图设计实验两门课程的开始过程中,从企业聘请了经验丰富的工程师进入课堂帮助任课教师进行理论教学和实验教学。

以上一系列的培养方案,使学生对集成电路设计流程有了更清楚的认识,让学生了解到了企业对毕业生的需求,为合作企业培养了所需的人才,使企业减少了招聘风险,降低了成本。

3 结束语

校企合作的实践教学模式,带给学生的不仅是对书本知识的深化和技能技巧的训练,更是一次记忆深刻的体验,是一次写在记忆中的成长经历[2]。校企合作协议签订半年多来,经过2009级电子科学与技术专业学生在毕业设计环节中的检验,学生深刻地感受到在理论知识与实际应用相结合的过程中自己还存在哪些方面的欠缺,校园里所学习的理论知识在实际工作中发挥了哪些作用。实习经历虽然短暂,但是学生收获颇丰,最终都找到了理想的工作。

笔者深信,随着校企合作的进一步开展和合作的进一步深入,致力于把合作真真正正地落到实处,带给学生的将是更加丰富的工作经验和待遇优越的就业岗位,带给企业的将是源源不断的就业生力军和企业品牌的进一步推广。

参考文献

集成电路设计与仿真范文第7篇

关键词:CMOS;温度保护;PTAT电流;热滞回

中图分类号:TN43

0 引 言

随着集成电路技术的广泛应用及集成度的不断增加,超大规模集成电路(VLSI)的功耗、芯片内部的温度不断提高,温度保护电路已经成为了众多芯片设计中必不可少的一部分。本文在CSMC 0.5 μm CMOS工艺下,设计一种适用于音频功放的高精度带热滞回功能温度保护电路。

1 电路结构设计

整个电路结构可分为启动电路、PTAT电流产生电路、温度比较及其输出电路。下面详细介绍各部分电路的设计以及实现。文中所设计的温度保护整体电路图如图1所示。

[BT3]1.1 启动电路

在与电源无关的偏置电路中有一个很重要的问题,那就是“简并”偏置点的存在,每条支路的电流可能为零,即电路不能进入正常工作状态,故必须添加启动电路,以便电源上电时摆脱简并偏置点。上电瞬间,电容C上无电荷,M7栅极呈现低电压,M7~M9导通,PD(低功耗引脚)为低电平,M3将M6栅压拉高,由于设计中M2宽长比较小,而此时又不导通,Q1~Q4支路导通,电路脱离“简并点”;随着M6栅电位的继续升高,M2导通,M3源电位急剧降低,某时刻M3被关断,启动电路与偏置电路实现隔离,电容C两端电压恒定,为M7提供合适的栅压,偏置电路正常工作。然而,当PD为高电平时,M4导通,将M6,M10的栅电位拉低,使得整个电路处于低功耗状态。

在这一部分,M11,M12,M14,M15组成低压共源共栅电流镜,并且有相同的宽长比,使两条支路电流相等。该结构与一般的共源共栅结构相比,可以提高等效沟道长度,从而增大输出电阻,提高电路的PSRR性能;并且这种两管组合结构可消耗较低的电压压降,从而增大输出电压摆幅,改善芯片低压工作特性。

与此同时,M7~M10这条支路为偏置电路提供了负反馈,以减小电源电压对偏置电流的影响,使得电路在平衡状态时保证X,Y两点电压相等。然而,反馈的引入也为偏置电路引入了不稳定的因素,这里M13和M7构成了一个两级闭环运放,为保证偏置电路的稳定,必须进行补偿。通过电容C将主极点设置在第一级运放M13的输出端,从而保证了电路的稳定性[7]。若Q3发射区的面积是Q4发射区面积的n倍,流过的电流大小均为I,则:

由式(9)可知,流经R1У牡缌饔氲缭次薰,只与绝对温度成正比,即得到PTAT电流。

[BT3]1.3 温度比较及输出电路[8]

由于晶体管的BE结正向导通电压具有负温度系数;PTAT电流进行I[CD*2]V变换产生电压具有正温度特性;利用这两路电压不同的温度特性来实现温度检测,产生过温保护信号的输出[9]。

M26~M30,M33,M34构成一个两级开环比较器,反相器的接入是为了满足高转换速率的要求。M31,M32是低功耗管,M23~M25的作用是构成一个正反馈回路,以防止在临界状态发生不稳定性,同时又为电路产生了滞回区间。

比较器的两个输入端电压分别记为VQ和VR;M17~M22用来镜像基准源电路产生的PTAT电流,这里它们与M14有着相同的宽长比。因此流经这三条支路的电流都为IPTAT。在常温下,M25截止,R2完成对PTAT电流的I[CD*2]V变换,即VR=2IPTATR2,此时VR

2 仿真结果及分析

以下是对各部分电路进行仿真的结果,仿真工具是Candence Spectre,模型采用华润上华公司的0.5 μm的n阱CMOS工艺[10]。

图2是PTAT电流随温度变化曲线。仿真结果表明,该曲线线性度较好,符合PTAT电流特性。常温下,在电源为5 V的情况下,功耗仅为0.4mW。可见,其功耗非常低。

[JP2]图4是温度分别从0~150 ℃和150~0 ℃扫描时比较器输出状态的变化。由图可见,当温度由低到高上升至84.1 ℃时,电路输出状态由低电平翻转成高电平,实现了芯片的过温保护;只有当温度回落到72 ℃时,电路才恢复原状态,实现了约12 ℃的滞回温度。改

3 结 语

为保证芯片在工作时不因温度过高而被损坏,温度保护电路是必须的。这里所设计的温度保护电路对温[LL]度灵敏性高,功耗低, 其热滞回功能能有效防止热振荡现象的发生,相比一般单独使用晶体管BE结的温度保护电路具有更高的灵敏度和精度,可广泛用于各种功率芯片内部。

参 考 文 献

[1]\[美\]毕查德•拉扎维.模拟CMOS集成电路设计[M].陈贵灿,译.西安:西安交通大学出版社,2003:309[CD*2]327.

[2]Serra[CD*2]Graells F,Huertas J L.Sub[CD*2]1 V CMOS Proportional[CD*2]to[CD*2]Absolute Temperature Reference [J].IEEE Journal on Solid[CD*2]state Circuit,2003,38 (1):84[CD*2]88.

[3]文武,文治平,张永学.一种高精度自偏置共源共栅的CMOS 带隙基准源[J].微电子学与计算机,2008,25(8):711[CD*2]714.

[4]Banba H.A CMOS Bandgap Reference Circuit with Sub[CD*2]1 V Operation\[J\].IEEE Journal on Solid[CD*2]state Circuit,1999,34:670[CD*2]674.

[5]张孝坤,王继安.一种可用于模块化设计的热关断电路[J].微电子学与计算机,2007,24(6):130[CD*2]136.

[6]潘飞蹊,俞铁刚,郭超,等.一种高精度带隙基准源和过温保护电路[J].微电子学,2005,35(2):192[CD*2]195.

[7]Phillip E Allen,Douglas R Holberg.CMOS模拟集成电路设计[M].北京:电子工业出版社,2005.

[8]石伟韬,蒋国平.一种高稳定低功耗CMOS 过热保护电路的设计[J].电子器件,2006,29(2):330[CD*2]334.

集成电路设计与仿真范文第8篇

关键词:上电复位;带隙基准;温度系数;运算跨导放大器;激光调整

中图分类号:TP368.1文献标识码:B

文章编号:1004 373X(2009)02 012 04

Design of Power-on Reset Chip with High Reliability

WANG Hanxiang,LI Fuhua,XIE Weiguo

(Electronics and Information Engineering,Soochow University,Suzhou,215021,China)

Abstract:Based on problem of the conditional Power-on Reset(PoR) is easy to fail when powering on again,a comparator structure is proposed,which is implemented by bandgap reference,resistance network and logic block.Reset timeout delay block is added to make it much more reliable.The function simulation by Hspice using 0.6 μmCdouble poly-N well CMOS process shows that when the circuit working under the supply voltage of 3.3 V,the threshold of supply voltage is 3.08 V and the reset timeout delay is set to 100 ms.The results demonstrate that the design can supply a stable and reliable PoR signal and be used to monitor power supplies in computers,microprocessors and portable equipment.

Keywords:power-on reset;bandgap;temperature coefficient;OTA;laser trimming

0 引 言

现代科技领域对电子产品性能的要求越来越高,微处理器系统的稳定性和抗干扰能力是电子工程师面临的一大难题,电源监控技术就是解决这一难题的有效手段之一。上电时上电复位(Pow-on Reset,PoR)电路对数字电路中移位寄存器、D触发器和计数器、模拟电路中的振荡器、比较器等单元电路进行复位,保证电路在上电过程能正确启动[1,2]。上电复位信号在电源电压上升过程中一直保持低电平(有效复位电平),直到电源电压稳定达到系统规定的正常工作电压后转变为高电平。

传统上电复位电路是利用电容上的电压不能突变,通过RC充电来实现。尽管 “充电箝位”电路可以改善上电没有器件限制电容C充电的问题,但这种结构在二次上电时仍有可能出现失效[3]。在此基于比较器型复位电路[3],设计了高精度的带隙基准、比较器、用于门限设置及检测的内部电阻网络和复位延时电路,有效解决二次上电失效,具有高可靠性。

1 电路设计与分析

1.1 上电复位电路的结构和原理

为了解决传统上电复位电路的二次上电可能出现错误的问题,这里基于比较器结构设计了精准的带隙基准作为比较基准,其中电阻网络用于设置和检测电压,采用延时电路减小电压纹波的影响,提高了复位信号的可靠性,结构如图1所示。在上电过程中,reset一直保持低电平,当电源电压达到预设的阈值电压后,采样电压高于基准电压Vref,比较器输出状态改变,逻辑电路控制时钟电路产生延时,100 ms后reset变为高电平,完成复位。

图1 POR的系统框图

1.2 偏置电路

精确的偏置电流是整个电路准确运行的基础,因此设计一种与电源电压无关的偏置电流I [4],如图2所示,其中:

ИW1L1=KW2L2,W4L4=W3L3

VGS2-VGS1=IR1

I=12・μCOXW1L1(VGS1-Vth1)2

I=12・μCOXW2L2(VGS2-Vth2)2И

忽略体效应,联解上式得:

ИI=2μCOXW2/L2・1R21(1-1K)2И

由上式可知偏置电流与电源电压无关,但电阻具有温度系数,为了减小偏置电路的温度系数,电阻由正负温度系数的电阻按比例串联组成。poly2电阻为负温度系数,而N阱电阻为正温度系数,两者结合可以实现零温度系数。

图2 偏置电路

图2中M5~M7组成启动电路,克服自偏置电路的零偏置点。NB,PB为偏置电流的镜像电流,为带隙基准、比较器电路和时钟电路提供偏置。

1.3 带隙基准电路

作为比较器的比较基准,其高稳定性是比较结果准确性的关键,因此设计了一种低温度系数与电源电压无关的带隙基准[5-9]。带隙基准由电源电压产生稳定精确的Vref,能克服电源电压的波动、温度的变化以及工艺误差等影响,输出稳定的参考电压。利用Veb和VT的温度特性来进行温度补偿,实现零温度系数。

图3为带隙基准电路结构图,A,B点为运放的两个输入端,运放闭环,A,B两点等电位。

ИI2=ΔVeb/R1

Vref=Veb2+I2(R1+R2)

ΔVeb=VTln(mn)

Vref=Veb2+VTln(mn)(R1+R2)/R1И

式中,m为R2与R3的比值;n为Q2 与Q1 的比值;Veb为负温度系数;VT为正温度系数。所以选择合适的电阻比值和晶体管的面积比值,可以使输出参考电压获得最小的温度系数,当然电阻本身同样具有温度系数,但电阻以比值出现,可以忽略其影响。M1~M10构成运算跨导放大器[10],C1为运放的相位补偿,保证60°的相位裕度。

图3 带隙基准

1.4 比较器电路

比较器电路用于监测电源电压变化,能比较的电平越低越好,即具有较高的灵敏度。因此采用经典的二级比较器[11],它具有很高的开环增益,高于60 dB。合理设置差分输入管M1,M2和电流镜负载M3,M4的尺寸,保证了比较器低的失调电压。选择合适的尾电流大小,能提高压摆率,优化比较器的响应速度。其高增益、低失调、快速度特性保证了比较器准确对电源电压的监控。图4中M1~M5为第一级;M6,M7为第二级;I1,I2为2个缓冲级。

图4 比较器

1.5 时钟电路

为了增加复位信号的可靠性,这里增加了复位延时。其主要由振荡器和分频器组成,如图5所示。M1~M7和C1构成振荡器,EN为使能信号。EN为低电平时,振荡器开始工作,M5导通,M3,M4组成的电流源通过M5对电容C1充电;当电容上的电压上升到施密特触发器的V+时,施密特触发器反相,M6导通,电容通过M1,M2构成的电流沉放电;当电容上的电压下降到施密特触发器的V_时,密特触发器反相,M5导通,这样周而复始,产生时钟信号。

图5 时钟电路

t涞绐=C1(V+-V-)/I涞绐,

t诺绐=C1(V+-V-)/I诺绐,T=t涞绐+t诺绐

分频器的作用是产生一定的延时来触发复位信号,增加复位信号的可靠性。其主要由一串D触发器构成的二分频电路构成,NЪ抖分频构成的延时为:

Иt┭邮豹=2N2T=2N-1TИ

1.6 采样电路

采样电路由电阻网络实现,主要用于采集电源的变化。图1中的R1和R2构成采样电路,VCC_th为电源电压的门限电压,则:

ИVCC_det=VCCR2/(R1+R2)И

临界点为:

ИVCC=VCC_th,VCC_det=VrefИ

因此:

ИR2/R1=Vref/(VCC_th-Vref)И

静态电流为:

ИIq=VCCR1+R2И

考虑到静态电流,要求采样电阻阻值较大,一般2个采样电阻(即R1,R2)需大于100 kΩ。用较小的等阻值的电阻串联来提高精度,所以在版图中设计一些被短接的预留电阻,并通过激光调整的方法或修改顶层金属连线来调节电阻。电阻的高精度和良好的匹

配性保证了被采集电源信号的准确性。

2 电路仿真

利用0.6 μm的CMOS工艺模型和HSpice仿真器,对设计的PoR进行仿真和优化。以下为仿真的主要结果。

带隙基准的正常启动和精确性对PoR的准确工作至关重要。图6是对带隙基准启动过程的仿真,图中可见当电源上电过程中,带隙基准电路正常启动;图7是Vref随电源电压VCC的变化特性,由图可知,在电源电压VCC变化范围内(2.0~3.3 V),Vref仅有2.5 mV的变化。

图6 带隙基准的启动

图7 Vref随电源电压VCC的变化特性

图8是对上电复位电路的上电、掉电和二次上电的仿真,图中可以看出电源缓慢上电, reset一直保持低电平,当超过3.08 V后振荡器开始工作,经过8个振荡周期reset变为高电平。

图8 POR上电、掉电、二次上电的仿真

电源电压掉电低于3.08 V,reset变为低电平,再次上升达到电源阈值电压8个振荡周期后reset又变为高电平。仿真结果表明PoR具有高可靠性。为了减少仿真时间,本图仿真采用的是16分频器,而不是实际的100 ms延时。

3 版图设计

作为设计与制造的纽带,版图的地位至关重要,模拟集成电路的性能很大程度受版图因素的影响[12]。以下为版图设计中的一些注意点:

(1) 该带隙基准PNP管的面积比是8∶1,做成3∶3∶3的结构,将面积为1的管子置于中心,保证匹配性;

(2) 该设计与电阻密切相关,电阻的失配会产生误差,将电阻做成叉指相间的形式,尽量减小电阻的不匹配;

(3) 运放的差动输入对的失配会产生失配影响电路性能,将差动对做成十字交叉形式,保证其对称性;

(4) 偏置电流要相对对称,减小失配引入的误差;

(5) 参考电压要远离跳变电压,总体布局时考虑到应力因素,将匹配性要求高的电路尽量置于应力较小处。

4 结 语

设计了一种由精确的带隙基准比较器,用于门限设置和检测的内部电阻网络等组成的上电复位,具有复位延时,可以准确可靠提供复位信号,还具有良好的性能,可广泛用于处电脑、微控制器以及各种便携式电子产品中,实现对系统电压、电源电压和电池的监控。

参考文献

[1]高明伦,张红,莉徐诺.一种基于比较器的新型片内上电复位电路的实现[J].中国集成电路,2004(8):31-35.

[2]李桂宏,谢世健.集成电路设计宝典[M] 北京:电子工业出版社,2006.

[3]鲁斌,胡永华,王晓蕾.基于系统监控的片内上电复位电路的实现[J].中国集成电路,2006,15(2):58-62.

[4][美]毕查德・拉扎维.模拟CMOS集成电路设计[M].陈贵灿,译.西安:西安交通大学出版社,2003.

[5] 史侃俊,许维胜,余有灵.CMOS带隙基准电压源中的曲率校正方法[J].现代电子技术,2006,29(5):113-116.

[6]刘红霞.一种低电压低功耗带隙基准电压源的设计[J].现代电子技术,2005,28(24):10-11.

[7]陈碧,罗岚,周帅林.一种低温漂CMOS带隙基准电压源的设计[J].电子器件,2004,27(1):79-82.

[8]刘韬,徐志伟.一种高电源抑制比CMOS能隙基准电压源[J].微电子学,1999,29(2):128-131.

[9]王彦,韩益锋.一种高精度CMOS带隙基准源[J].微电子学,2000,33(3):255-261.

[10]Jacob Baker R.CMOS 电路设计・布局与仿真[M].陈中建,译.北京:机械工业出版社,2006.

[11]Allen P E,Holberg D R.CMOS Analog Circuit Design [M].2nd Edition.北京:电子工业出版社,2002.