首页 > 文章中心 > 计数器电路

计数器电路

开篇:润墨网以专业的文秘视角,为您筛选了八篇计数器电路范文,如需获取更多写作素材,在线客服老师一对一协助。欢迎您的阅读与分享!

计数器电路范文第1篇

关键词:出租车计价器;里程计费电路;等候时间计费电路;计数、锁存、显示电路;时钟电路;置位电路和脉冲产生电路

中图分类号:TP302 文献标识码:A

出租车自动计费器是根据客户用车的实际情况而自动计算、显示车费的数字表。数字表根据用车起步价、行车里程计费及等候时间计费三项显示客户用车总费用,打印单据,还可设置起步、停车的音乐提示或语言提示。

1.设计方案

(1)自动计费器具有行车里程计费、等候时间计费和起步费三部分,三项计费统一用4位数码管显示,最大金额为99.99元。

(2)行车里程单价设为1.80元/km,等候时间计费设为1.5元/10分钟,起步费设为8.00元。要求行车时,计费值每公里刷新一次;等候时每10分钟刷新一次;行车不到lkm或等候不足10分钟则忽略计费。

(3)在启动和停车时给出声音提示脚。

自动计费采用计数器电路来实现,将行车里程、等候时间分别按相同的比价转换成脉冲信号,然后对这些脉冲进行计数,起价可以通过预置送入计数器作为初值,如图1的原理框图所示。行车里程计数电路每行车lkm输出一个脉冲信号,启动行车单价计数器输出与单价对应的脉冲数。例如单价是1.80元/km,则设计一个一百八十进制计数器,每公里输出180个脉冲到总费计数器,即每个脉冲为0.01元。等候时间计数器将来自时钟电路的秒脉冲作六百进制计数,得到10分钟信号,用10分钟信号控制一个一百五十进制计数器(等候10分钟单价计数器计费为1.5元)向总费计数器输入150个脉冲。这样,总费计数器根据起步价所置的初值,加上里程脉冲、等候时间脉冲即可得到总的用车费用例。

上述方案中,如果将里程单价计数器和10分钟等候单价计数器用比例乘法器完成,则可以得到较简练的电路。它将里程脉冲乘以单价比例系数得到代表里程费用的脉冲信号,等候时间脉冲乘以单位时间的比例系数得到代表等候时间的时间费用脉冲,然后将这两部分脉冲求和。

如果总费计数器采用BCD码加法器,即利用每计满lkm的里程信号、每等候10分钟的时间信号控制加法器加上相应的单价值,就能计算出用车费用。

1.1里程计费电路设计

里程计费电路如图2所示。安装在与汽车轮相接的涡轮变速器上的磁铁使干簧继电器在汽车每前进10m闭合一次,即输出一个脉冲信号。汽车每前进1km则输出100个脉冲。此时,计费器应累加lkm的计费单价,本电路设为1.80元。在图2中,干簧继电器产生的脉冲信号经施密特触发器整形得到CP0。CP0送入由两片74HCl61构成的一百进制计数器,当计数器计满100个脉冲时,一方面使计数器清0,另一方面将基本Rs触发器的Q1置为1,使74HCl61(3)和(4)组成的一百八十进制计数器开始对标准脉冲CPl计数,计满180个脉冲后,使计数器清0。Rs触发器复位为0,计数器停止计数。在一百八十进制计数器计数期间,由于QI=I,则p2=。使P2端输出180个脉冲信号,代表每公里行车的里程计费,即每个脉冲的计费是O.Ol元,称为脉冲当量。

1.2等候时间计费电路设计

等候时间计费电路如图3所示,由74HCl61(1)、(2)、(3)构成的六百进制计数器对秒脉冲CP2作计数,当计满一个循环时也就是等候时间满10分钟。一方面对六百进制计数器清O,另一方面将基本RS触发器置为1,启动74HCl61(4)和(5)构成的一百五十进制计数器(10分钟等候单价)开始计数,计数期间同时将脉冲从P1输出。在计数器计满10分钟等候单价时将Rs触发器复位为0,停止计数。从P1输出的脉冲数就是每等候10分钟输出150个脉冲,表示单价为1.50元,即脉冲当量为0.01元。等候计时的起始信号由接在74HCl61(1)的手动开关给定。

1.3计数、锁存、显示电路设计

如图4所示,其中计数器由4位BCD码计数器74LSl60构成,对来自里程计费电路的脉冲P2和来自等候时间的计费脉冲P1进行十进制计数。计数器所得到的状态值送入由2片8位锁存器74LS273构成的锁存电路锁存,然后由七段译码器74LS48译码后送到共阴数码管显示。

计数、译码、显示电路为使显示数码不闪烁,需要保证计数、锁存和计数器清零信号之间正确的时序关系,如图5所示。由图5的时序结合图4的电路可见,在Q2或Q1为高电平1期间,计数器对里程脉冲P2或等候时间脉冲P1进行计数,当计数完lkm脉冲(或等候10分钟脉冲)则计数结束。现在应将计数器的数据锁存到74LS273中以便进行译码显示,锁存信号由74LSl23(1)构成的单稳态电路实现,当Q1或Q2由1变0时启动单稳电路延时而产生一个正脉冲,这个正脉冲的持续时间保证数据锁存可靠。锁存到74LS273中的数据由74LS48译码后,在显示器中显示出来。只有在数据可靠锁存后才能清除计数器中的数据。因此,电路中用74LSl23(2)设置了第二级单稳电路,该单稳电路用第一级单稳输出脉冲的下跳沿启动,经延时后第二级单稳的输出产生计数器的清零信号。这样就保证了“计数一锁存一清零”的先后顺序,保证计数和显示的稳定可靠。

图4中的S2为上电开关,能实现上电时自动置入起步价目,s3可实现手动清零,使计费显示为00.00。其中,小数点为固定位置。

1.4时钟电路设计

时钟电路提供等候时间计费的计时基准信号,同时作为里程计费和等候时间计费的单价脉冲源,电路如图6所示。

在图6中,555定时器产生lkHz的矩形波信号,经74LS90组成的3级十分频后,得到1Hz的脉冲信号,可作为计时的基准信号。同时,可选择经分频得到的500Hz脉冲作为CPl的计数脉冲。也可采用频率稳定度更高的石英晶体振荡器。

1.5置位电路和脉冲产生电路的设计

在数字电路的设计中,常常还需要产生置位、复位的信号,如sD、RD。这类信号分高电平有效、低电平有效两种。由于实际电路在接通电源瞬间的状态往往是随机的,需要通过电路自动产生置位、复位电平使之进入预定的初始状态,如前面设计中的图4,其中s2就是通过上电实现计数器的数据预置。图7表示了几种上电自动置位、复位或置数的电路。

在图7(a)中,当s接通电源时,由于电容C两端电压不能突变仍为零,使RD为O,产生Q置0的信号。此后,c被充电使c两端的电压上升到RD为

1时,D触发器进入计数状态。图7(b)则由于非门对开关产生的信号进行了整形而得到更好的负跳变波形。图7(c)和图7(d)中的CC4013是CMOS双D触发器,这类电路置位和复位信号是高电平有效,由于开关闭合时电容可视为短路而产生高电平,使RD=I,Q=0;若将此信号加到SD,则SD=I,Q=1;置位、复位过后,电容充电而使RD(SD)变为0,电路可进入计数状态。图7(e)是用开关电路产生点动脉冲,每按一次开关产生一个正脉冲,使触发器构成的计数器计数1次;图7(f)是用开关电路产生负脉冲,每按一次开关产生一个负脉冲。2

电路的安装与调试

数字电路系统的设计完成后,必须进行安装调试,以使设计的电路满足设计的功能和性能指标,并且要求达到系统的可靠性、稳定性、抗干扰能力等技术指标。以下是安装调试数字电路的几个重要步骤和指标:

2.1检测电路元件

最主要的电路元件是集成电路,常用的检测方法是用仪器测量、用电路实验或用替代方法接入已知的电路中。集成电路的检测仪器主要用集成电路测试仪,还可用数字电压表作简易测量。实验电路则模拟现场应用环境测试集成芯片的功能。替代法测试必须具备已有的完好工作电路,将待测元件替代原有器件后观察工作情况。

除集成电路芯片外,还应检测各种准备接人的其他各种元件,如三极管、电阻、电容、开关、指示灯、数码管等。应确信元件的功能正确、可靠才能装入电路安装。

2.2电路安装

数字电路系统在设计调试中,往往是先用面包板进行试装,只有试装成功,经调试确定各种待调整的参数合适后,才考虑设计成印刷电路。

试装中,首先要选用质量较好的面包板,使各接插点和接插线之间松紧适度。安装中的问题往往集中在接插线的可靠性上,特别需要引起注意。

安装的顺序是按照信号流向的顺序,先单元后系统、边安装边测试。先安装调试单元电路或子系统,在确定各单元电路或子系统成功的基础上,逐步扩大电路的规模。各单元电路的信号连接线要有标记,如用特别颜色的线,以便能方便断开进行测试。

2.3系统调试

系统调试将安装测试成功的各单元连接起来,加上输入信号进行调试,发现问题则先对故障进行定位,找出问题所在的单元电路。采用故障现象估测法(根据故障情况估计问题所在位置)、对分法(将故障大致所在部分的电路对分成两部分,逐一查找)、对比法(将类型相同的电路部分进行对比或对换位置)等。

系统测试分静态测试和动态测试。静态测试时,在各输入端加入不同电平值,加高电平(一般接1千欧以上电阻到电源)、低电平(一般接地)后,用数字万用表测量电路各主要点的电位,分析是否满足设计要求。动态测试时,在各输入端接入规定的脉冲信号,用示波器观察各点的波形,分析它们之间的逻辑关系和延时。

除了调试电路的正常工作状态外,另外特别要注意调试初始状态、系统清零、预置等功能,检查相应的开关、按键、拨盘是否可靠,手感是否正常。

3

计数器电路范文第2篇

【关键词】霍尔传感器;计数器;信号处理电路

目前霍尔元件已经得到越来越多的应用,应用最多的是GaAs和InSb。利用蒸发InSb制作的霍尔元件,其霍尔电势大,但工作温度范围狭窄,霍尔电势的温度特性差,磁场的线性度范围狭窄,因而应用范围受到限制。GaAs的霍尔电势虽小,但热稳定性好,已逐渐成为主流产品。

霍尔传感器输出电压信号稳定,只要存在磁场,霍尔元件总是产生相同的电压,并且输出信号电压的大小与转速无关,即使是在发动机起动的低转速状态下,仍能够获得较高的检测准确度。下面是利用霍尔传感器设计的时钟电路。

一、霍尔传感器时钟工作原理

1.记数分析

霍尔传感器作为计数来用是比较常见的,通过改变它的磁场变化使得输出的霍尔电势变化接入后续电路进而计数。这个简单的原理却是我的霍尔传感器时钟的核心。

首先,将大号齿轮安装在电动机上(这里采用的是电动机,也可以采用发条等启动物件),再将中号齿轮安装在大号齿轮上,最后将小号齿轮安装在中号齿轮上,三个霍尔传感器靠近各自齿轮的侧面,(其中大号齿轮和中号齿轮均有60个齿槽,小号齿轮24个齿槽),当电动机开始运转时(设定大号齿轮齿与槽之间交替为1秒钟),运转的电动机带动大号齿轮转动,转动的大号齿轮的齿正对霍尔传感器时,磁场强度增加,霍尔传感器输出的霍尔电势变大,接入后续电路输出一个信号,而当大号齿轮的槽正对霍尔传感器时,磁场强度减弱,霍尔传感器输出的霍尔电势变小,接入后续电路的也输出一个信号,当这两个不同的信号交替出现时,经过后续电路处理后进行计数,而由于齿与槽之间的交替时间正好是一秒钟,所以转了一圈的大号齿轮,即计时了60秒,它的作用正好相当于秒钟。而同时安装在大号齿轮上的中号齿轮随即动一下(即原本中号齿轮的齿正对霍尔传感器时,当大号齿轮转了一圈后,中号齿轮就动一下,使它的槽对霍尔传感器)这样它也输出一个信号,同理,当大号齿轮转六十圈,它(中号齿轮)转一圈。即计时60分钟,他的作用相当于分钟,最后,当中号齿轮转一圈小号齿轮动一下,(其原理和大号齿轮转一圈中号齿轮动一下是一样的。)它转了一圈,即计时24个小时,相当于时钟。接入后续电路即可做成电子时钟。(其中小、中、大三个齿轮安装是刚刚好的,即在调时间时可以轻松调动,而在正常工作时又不会松动,影响时钟准确性。)

2.信号处理电路的选用

经传感器转换和放大器放大的电信号,由于测试环境的电磁干扰、传感器和放大器自身的影响,往往会含有多种频率成分的噪音信号。严重时,这种噪音信号会淹没待提取的输入信号,造成测试系统无法获取被测信号。在这种情况下,需要采取滤波措施,抑制不需要的杂散信号,使系统的信噪比增加,在此选用了有源滤波器中的低通滤波器。根据低通滤波器幅频特性,确定有限增益低通滤波器的线路图。

3.实时显示与记录电路

采用LED制成的七段数码管来表示时钟的时间。

二、霍尔传感时钟的电路分析(含框图)

1.霍尔转速传感器的原理框图(霍尔传感器时钟电路)。

2.电路分析:

当晶体振荡器产生频率为fc的稳定信号,经过放大、整形后换成理想的矩形脉冲信号。若经过分频器所得标准信号的频率fo与要求的时间t相对应(t=1/fo),则可以直接驱动控制电路,产生相应的计数、显示、清零和“门”电路的开关控制信号,实现对霍尔集成电路输出的fx的测量和显示。(核心思想)

即:当小、中、大齿轮上的霍尔传感器(转速传感器)记录数据记录时,通过放大电路进入“门”电路,而这时,晶体振荡器产生的频率也经放大、整形后送入“门”电路,这时振荡器产生的频率将传感器输送来的数据处理送入后续电路,即在显示器上显示时钟数据。

三、该时钟电路在不同实践中的扩展和延伸

该设计的优势是不但可以作为时钟,而且只要稍加改进就可以作为定时器;测量距离;测转速;作开关,可谓是功能强大。下面将举一个对该设计稍加该进后测量布匹长度的例子:

测量传感器一侧采用两支霍尔元件,按照一定间隔固定在柱形槽内,其引脚(+5V、GND、A/B)通过软线引至输出插座;另一侧齿轮与转轴通过轴承固定在圆柱形外壳的轴线上。齿轮圆周上均匀嵌上4个圆形磁钢。当电动机启动,转动轴带着齿轮顺时针或逆时针旋转时,A、B两个信号端便会产生具有一定相位差(约90o)的脉冲信号,借助于A、B两相脉冲的超前与滞后关系,可以识别出传感器正转和反转,对A相或B相脉冲计数,就能计算出传感器的旋转圈数,最终折算出布匹的长度。假设滚动轮的外径为D(cm),传感器每周每相各输出4个脉冲(最大测量误差在MCS-51系列单片机片内有2/3个16位定时器/计数器(T0、T1、T2),可用来对外部事件的计数。但每个16位计数器均为加法计数器,无法实现可逆计数。若能将计数器T0作为顺时针方向的计数器,而计数器T1作为逆时针方向的计数器,从而使实际计数值为两个计数器计数值之差,这就要求传感器作顺时针方向旋转时仅计数器T0计数,作逆时针方向旋转时仅计数器T1计数,才能确保可逆计数的实现。我们注意到计数器T0和计数器T1均可以通过片内门控信号(Gate位)置“0”或置“1”来决定是否由外部控制信号(/INT0,/INT1)允许或禁止计数。Gate=0,计数器不受外部控制信号控制。Gate=1,则外部控制信号为高电平时,对应计数器允许计数;外部控制信号为低电平时,对应计数器禁止计数。因为T0、T1是在脉冲信号的下降沿触发内部计数器计数,从两相脉冲时序图3可以看出,当传感器顺时针旋转时,A相脉冲的下降沿落在B相脉冲的高电平区,而传感器逆时针旋转时,B相脉冲的下降沿落在A相脉冲的高电平区。如果将A相脉冲信号连接至单片机的T0(P3.4)端,B相脉冲信号连接至/INT0(P3.2)端;与此同时,将B相脉冲信号连接至单片机的T1(P3.5)端,A相脉冲信号连接至/INT1(P3.3)端。如果传感器作顺时针旋转,在对应每一个A相脉冲下降沿都有/INT0=1(高电平),计数器T0允许计数,则计数器T0对A相脉冲下降沿逐一计数。此时对应每一个B相脉冲的下降沿均因落在A相脉冲的低电平区,即/INT1=0,计数器T1被禁止,计数器T1不会被B相脉冲的下降沿触发计数。同样,如果传感器作逆时针旋转,在对应每一个B相脉冲下降沿都有/INT1=1(高电平),计数器T1允许计数,则计数器T1对B相脉冲下降沿逐一计数。此时对应每一个A相脉冲的下降沿均因落在B相脉冲的低电平区,即/INT0=0,计数器T0被禁止,计数器T0不会被A相脉冲的下降沿触发计数。

参考文献

[1]王学超.过程控制领域内应用现场总线若干问题探讨[J].石油化工自动化,2003(6).

[2]侯国章.测试与传感技术[M].哈尔滨:哈尔滨工业大学出版社,2000:123-134.

[3]赵负图.现代传感器集成电路(图象及磁传感器电路)[M].北京:人民邮电出版社,2000:267-293.

[4]郝芸,彭利标.传感器原理与应用[M].北京:电子工业传版社,2002(5).

计数器电路范文第3篇

【关键词】频率计;等精度测量;单片机

引言

频率计和信号源是常用的电子设备,广泛应用于电子测量、通讯和教学等领域。频率计的基本功能是测量正弦信号、方波信号及其他变化的物理量在单位时间内变化的频率,而信号源的功能则是提供各种频率的函数信号。随着数字电子技术的发展,频率测量成为一项越来越普遍的工作,测频原理和测频方法的研究正受到越来越多的关注。

早期的频率计采用测频法或测周法测量频率,通常由组合逻辑电路和时序电路等硬件电路组成,产品体积大、运行速度慢、测量精度不高,而现在市面上较为流行的频率计虽然在测频性能上有很大提高,但通常价格昂贵,同时功能相对单一,如频率测量和信号源分开,存储功能不完善等,不适合广大初学者的实际需求。

1.传统频率测量方法

1.1 测频法

由控制电路产生测频所需的满足一定时序关系的闸门信号、清零脉冲信号和锁存脉冲信号。当频率计正常工作时,宽度为1s的闸门信号作为计数器的时钟使能信号,则被测信号作为计数器的时钟输入。当闸门信号为高电平时,计数器即开始计数;当闸门信号为低电平时,计数器即停止计数,则1s的闸门时间内计数器的计数值即为被测信号的频率。同时,为了保证测评准确,在每次闸门信号开通前提供给计数器一个清零脉冲信号,让计数器处于零状态,保证计数器每次都从零开始计数。

如果计数器的输出直接译码显示,则在闸门信号为高电平期间,频率计的显示将会随着计数值的增加而不断变化,不断闪烁,人眼将难以分辨。为了防止这种现象,在计数器和译码、显示 之间增加一锁存电路。只有当计数器停止计数后(闸门信号由高变低后),才将计数值锁存并译码显示。为了防止显示闪烁,锁存信号的周期必须大于人的视觉滞留时间(约为0.1s)。

1.2 测周期法

将被测量信号经过整形后转换成方波信号,利用单片机查询两个上升沿,在此期间根据晶体振荡器产生的周期为 Tc的脉冲送计数器进行计数,设计数值为N,则得被测量信号的周期值Tx=Tc×N,然后取其倒数即为被测量信号的频率。

1.3 等精度测量法

2.具体模块电路设计

(1)根据上述系统分析,本系统设计包括以下几个模块电路:单片机微处理电路、宽带信号放大电路、信号整形电路、双路切换电路、10分频电路、显示电路、存储电路、分频驱动电路1和分频驱动电路2。总体框图如图2所示。

(2)各模块设计与功能如下:

1)单片机微处理电路

本电路的主芯片是AT89S52单片机,它是一个低功耗,高性能CMOS8位单片机,片内含8kBytes的可反复擦写1000次的Flash只读程序存储器,器件采用ATMEL公司的高密度、非易失性存储技术制造,兼容标准MCS-51指令系统及80C51引脚结构,芯片内集成了通用8位中央处理器、ISP Flash存储单元、32位I/O口线、看门狗定时器、2个数据指针、3个16位定时器/计数器和一个6向量2级中断结构,功能强大的AT89S52可为许多嵌入式控制应用系统提供高性价比的解决方案。

2)宽带信号放大电路、信号整形电路

宽带信号放大电路的功能是对待测信号进行放大处理,降低对待测信号幅度的要求。型号整形电路是对一些不是方波的待测信号转化成方波信号,便于测量。

为了简化设计,本设计让输入信号先经过一个模拟电路进行信号的放大处理,兼顾了高频和低频信号,然后用芯片74HC14充当比较器,把信号转换为0和1的数字信号。原理图如图3所示。

3)双路切换电路

为了实现同时测量两路信号,设计了双路切换电路,如图4所示。其工作原理为:当选择端信号为低电平‘0’时,芯片74HC00的 Y1口输出为高电平‘1’,Y2口输出为信号2的非,经过与非门(A3 B3 Y3)后,Y3口输出为信号2;当选择端信号为‘1’时,Y2口输出为高电平‘1’,Y1口输出为信号1的非,经过与非门(A3 B3 Y3),Y3口输出为信号1。

4)10分频电路

10分频电路如图5所示。本电路采用74HC390异步双二―五―十进制加法计数器实现分频。内有两组计数器,每组计数器由两个计数器组成,1个一位二进制计数器和1个五进制计数器,它们可以单独计数,但清零时同时清零。 二进制计数器:A时钟,QA输出 ;五进制计数器:B时钟,QD,QC,QB输出 。信号从4端口输入,每记满五个数从6端口输出一个数送入端口12,再次记满五个数时从端口10输出一个数送入端口1,记满两次时从端口3输出一个数送入15,再次记满两次时从13口输出。即每当输入端输入5*5*2*2个数时,输出端输出一个数,也就是实现了100分频。

3.实验测试结果

此外,我们对两路信号的输出频率进行了测量,其频率分别为1K和1M,没有误差。

4.结束语

本频率计的测量范围是1Hz~10MHz,自带量程自动切换,1KHZ、1MHZ标准方波信号源功能。可以同时测量两路信号的频率。具有成本低、精度高、多功能等优点。可广泛应用于实践教学、电子制作和生产流水线。具有广阔的市场潜力。

参考文献

[1]阎石.数字电子技术基础(第四版)[M].高等教育出版社.

[2]莫琳.基于FPGA的等精度频率计的设计与实现[J].现代电子技术,2004(10):81-88.

计数器电路范文第4篇

【关键词】音乐播发器;节拍;音符;计数器

音乐播发器是模仿人歌唱的电路,故可用人歌唱作为原型来分析设计音乐电路的总体方案。人通过声带振动发出声音,声带振动频率不同发出不同声音,故在用数字电路来模仿时,可用一个可控分频电路来产生不同频率时钟信号来驱动外接喇叭或蜂鸣器演奏音乐。人在歌唱时,是根据歌谱用大脑控制声带按照一定节拍在不同时间发出不同的乐音。故在用数字电路模仿时,还应该有一个控制电路模块,用来根据歌谱控制可控分频电路模块按照一定节拍在不同时间发出不同的乐音。控制电路模块分为两个模块,一个用于产生节拍,称节拍产生模块;另一个用于根据歌谱控制可控分频电路模块在不同时间发出不同的乐音,称音符产生模块。节拍产生模块应是一个计数器,每个状态持续的时间是需演奏的所有的音的音长的最小公因数,比如是一个十六分音符时值,具体时间长度应根据需演奏的歌曲而定。

控制电路的计数器的模即状态数,可由需演奏歌曲的各个音所占据状态个数累加而得。例如,一首用于演奏“梁祝”乐曲的音乐电路的控制电路的计数器的模是139。节拍产生电路的计数器是用来产生节拍定时的,音符产生模块则将节拍产生电路的计数器的状态翻译成对应的音符频率信号。如需演奏的歌曲中所用到的音符为9~16个,则音符产生模块的输出信号应有四位,其他情况依此类推。《化蝶》简谱音符共有15个,用index表示音符符号,从低音到高音依次编号1~15。音乐电路设计总体参考方案如图1所示。

图1 总体设计方案

本设计以用于演奏“梁祝”乐曲的音乐电路为例,对音乐电路各模块的实施进行分析。

一、控制电路模块

控制电路模块包含节拍产生模块和音符产生模块两个子模块,可合写成一个文件。

1.节拍产生模块设计分析

根据以上分析,此模块为一计数器,根据乐曲“梁祝”的谱,此乐曲以四分音符为一拍,四拍为一节,所有的音的音长的最小公因数是一个十六分音符时值,所以以十六分音符时值作为一个状态持续时间,把乐曲“梁祝”中各个音所占据状态个数累加而得知节拍产生模块计数器的模是139,其中最后体止符用了3个时间单位。

乐曲“梁祝”的简谱没有明确标出演奏速度,根据经验设定1min演奏60拍,因此一拍持续时间即四分音符的时值为1s,一个状态持续时间即一个十六分音符时值为0.25s。故节拍产生模块输入时钟周期为0.25s,频率为4Hz。

2.音符产生模块设计分析

音符产生模块的功能是将节拍产生电路的计数器的计数值按照乐曲“梁祝”的简谱翻译成对应的音符编号,若用Counter表示计数器的状态,Index表示音符编号,则可对乐曲《梁祝》简谱出现的音符规定见表2。

表1 《化蝶》简谱音符编号与计数器状态对应表

表2 《化蝶》简谱音符可控分频器预置数

二、分频预置数产生模块

根据梁祝的简谱,乐曲《化蝶》是F调,共有16个不同唱名的音符,其频率见表2。在产生音乐频率信号的模块中输入时钟设为1MHz,经2分频后为500000Hz,故分频系数应为500000/f。考虑到可控分频器设计为基于加法计数器的分频器,而最大分频比为500000/349.2≈1432,其二进制表示是10110011000,故可控分频模块的计数器为十一位二进制计数器即其模为2047。供给可控分频模块各个唱名的预置数tone:

Tone=2047-500000/f

f:各个唱名按照F调对应的频率

根据乐曲《梁祝》简谱对应各个音符的可控分频器预置数见表2所示。

三、可控分频模块

此模块的功能是用一个可控分频电路来产生演奏音乐所击的每个音。其实质是设计一个模是2047的可预置数的加法计数器,对1MHZ时钟的上升沿计数从而实现非等占空比分频。为了使输出信号SpkS具有较高的驱动功率,可控分频后再经2分频,使输出信号SpkS具有50%的占空比,从而具有较高的驱动功率。

四、顶层模块

顶层模块的功能是把以上各个模块组装起来构成一个完整的电路。顶层模块可用VHDL输入法设计,也可用原理图输入法设计。

图2 原理图输入法设计的顶层电路

五、结论

本设计在QuartusII平台上,应用VHDL语言层次化和模块化的设计方法,通过音符编码的设计思想,设计了《化蝶》简谱演奏电路,经过对整体进行模块化分析、编程、综合、仿真及下载,完整实现《化蝶》简谱播放功能。

参考文献

[1]何小河.小型智能系统设计与制作[M].武汉理工大学出版社,2011.

[2]胥勋涛.EDA技术项目化教程[M].电子工业出版社,2011.

计数器电路范文第5篇

关键词:频率计 中低频测量 软件仿真

中图分类号:TM935

文献标识码:A

文章编号:1007-3973(2012)008-044-02

1 概述

在电子技术中,频率是最基本的参数之一,并且与许多电参量的测量方案,测量结果都有十分密切的关系,因此频率的测量就显得更为重要。本设计采用直接测评法,由时基电路产生一秒闸门时间,并在闸门内完成对信号频率的计数。

2 方案选择

利用电子计数器测量具有准确度高、显示醒目直观、测量迅速,以及便于实现测量过程自动化等一系列突出优点。因此我们选择电子计数法作为设计方向。在此基础上我们直接使用使用十进制计数器74LS160完成对脉冲的计数,同时利用晶振电路产生一秒的高电平,运用门电路及单稳控制计数器在一秒高电平中完成计数。最后使用锁存器计数所得数值并输送给译码显示电路。

时间基准T=1S

数字频率计由可控的计数锁存电路、译码显示电路、石英晶体振荡器及多级分频电路、带衰减的放大整形电路和闸门电路等4个基本单元电路组成。

由晶体振荡器及多级分频电路得到具有固定宽度T的方波脉冲做门控信号,时间基准称为闸门时间T。宽度为T的方波脉冲控制闸门的一个输入端。被控信号频率为Fx,它的周期为Tx,该信号经放大整形后变成序列窄脉冲,送到闸门另一输入端。当门控信号到来后,闸门开启,周期为Tx的信号脉冲和宽度为T的门控信号相“与”通过闸门,从闸门输出端输出的脉冲信号送到计数器,计数器开始计数,直到门控信号结束,闸门关闭。

单稳态的暂态送入锁存器的使能端,锁存器将计数结果锁存,通过译码器送入七段数码管显示。

3.1 时间基准T=1S产生电路

测量频率是按照频率的定义进行的,若T=1s,计数器显示数字N,则Fx=N。若取T=0.1s,通过闸门的脉冲个数为N1时,则Fx=N1/0.1=10N1。由此可见闸门时间决定量程,T的大小可以通过分频器选择,选择大一些,测量准确度就高一些。根据被测频率选择闸门时间,闸门时间为1s,被测信号频率通过计数锁存可以直接从计数显示器上读出。

这部分的作用就是提供准确的计数时间T,它由高稳度的石英晶体振荡器,分频整形电路组成。

3.2 计数脉冲形成电路

这部分电路的作用是将被测的周期信号转换为可计数的窄脉冲,它一般由放大整形电路和主门(与门)电路组成。被测输入周期信号(频率为Fx,周期为Tx)经放大整形的周期为Tx的窄脉冲,送至与门的一个输入端。主门的另一个控制端输入的是时间基准产生的闸门脉冲。在闸门脉冲开启主门期间,周期为Tx的窄脉冲才能经过主门,在主门的输出端产生输出。在闸门脉冲关闭主门期间,周期为Tx的窄脉冲不能经过主门,在主门的输出端产生输出。

整形放大电路由集成运算放大器LM358、斯密特触发器74LS14N等元件组成,对输入的三角波、正弦波、方波信号进行整形,使其转化为0-5V的方波信号,以便进行计数采样。

3.3 计数显示电路

这部分电路的作用简单的说,就是计数被测周期信号在闸门宽度T的时间内重复的次数,显示被测信号的频率。它由计数器、锁存器、译码器、单稳态触发器和显示器组成。其中计数器按十进制计数。如果在系统中不接锁存器,则显示器上数字就会随计数器的状态不停地变化,只有在计数器停止计数时,显示器上的显示数字才能稳定,所以,在计数器后边必须接锁存器。锁存器的工作是受单稳态触发器控制的。单稳的上升沿作为锁存器的锁存脉冲。

锁存器在锁存脉冲作用下,将门控信号周期T内的计数

结果存储起来,并隔离计数器对译码显示的作用,同时把所存出的状态送入译码器译码,在显示器上得到稳定的计数显示。

为了使计数器稳定准确的工作,利用开关的开启闭合产生清零脉冲,使所有的计数器74LS160清零,为下次测量做好准备。

3.4 稳压电源设计

我们采用DC-DC转化芯片IE—1205s直接由+12v输入,得到系统所的全部电+5,-5V。在+12V的输入上我们采用开关电源供电,电压稳定。

4 理论误差分析

4.1 计数器计数误差(·误差)

测频时,主门的开启时刻与计数脉冲之间的时间关系是不相关的,也就是说它们在时间轴上的相对位置是随机的。这样,即便在相同的主门开启时间T 内,计数器所得的数却不一定相同,造成多计一个数或者少计一个数。%=N的取值只有三个值,即%=N=0,1,-1。所以,脉冲计数的最大相对误差为

式中,fx为被测信号频率,T为闸门时间。

4.2 闸门时间误差(时基误差)

闸门时间不准,造成主门启闭时间或长或短,产生测频误差。闸门时间T是由晶振信号分频而得。设晶振频率为fc(周期为Tc),分频系数为K,所以有闸门时间T:

由误差合成原理可知,闸门时间相对误差为:

上式表明:闸门时间相对误差在数字上等于晶振频率的相对误差,所以也称为时基误差。

4.3 计数测频总误差

有误差合成原理可得计数总误差最大为:

由于晶振相对量化误差很小,所以忽略不计。将测量下限fc=50Hz代入上式,可得最大频率测量误差约为2%。

参考文献:

计数器电路范文第6篇

关键词:单稳态 Verilog HDL 仿真

中图分类号:TP39 文献标识码:A 文章编号:1007-3973(2012)001-087-03

1 引言

讲到单稳态电路,很容易想到54HC123,54HC6538和74LS123等单稳态集成电路。这些集成电路的特点是简单、方便,但也存在缺点:(1)专用单稳态集成电路中的宽度定时元件R、C 是随温度、湿度等因素变化而变化的,在对其进行温度补偿时,调试过程相当繁琐,而且电路工作的可靠性也不高;(2)由于它不能在高密度的可编程逻辑器件中实现,如CPLD,FPGA等,因此在以大规模可编程逻辑器件为主的设计中会造成元器件数量增加,可靠性降低。为克服上述缺点,可以用逻辑电路来搭建纯数字化的单稳态电路。

Verilog HDL语言是目前应用最为广泛的硬件描述语言,用该语言进行设计最大的优点是其与工艺性无关。这使得工程师在功能设计,逻辑验证阶段可以不必过多考虑门级及工艺实现的具体细节,只需根据系统设计的要求施加不同的约束条件,即可设计出实际电路。

2 可重触发单稳态电路的特点

单稳态电路只有一个稳定状态,触发翻转后经过一段时间会回到原来的稳定状态,一般作固定脉冲宽度整形。单稳态电路的特点如下:

(1)稳态和暂稳态两个不同的工作状态;

(2)在外接触发脉冲作用下,从稳态翻转到暂稳态,在暂稳态维持一段时间后再自动返回稳态;

(3)暂稳态维持时间的长短取决于电路本身的参数,与触发脉冲的宽度和幅度无关。

可重触发是单稳态电路中较常用的一项功能,在工业控制中,如常用的看门狗电路,民用系统中,如住宅楼道公共照明系统等,都有很广泛的应用。可重触发功能是指在单稳态电路被触发后,在回到稳态之前仍可以继续进行触发,同时在此期间输出端始终保持为暂稳态不变,直到最后一次触发T时间后,才回到稳态。

3 单稳态电路设计的基本原理

这里我们分两种情况讨论:一种是两个触发脉冲之间的间隔Td大于计数器计数的时间Tc;另一种是Td

Td>Tc时,如图1所示,对触发脉冲进行检测,当检测到上升沿时,输出进入暂稳态,同时启动计数器,由于Td>Tc,所以计数器能够伴随系统时钟自增到设定好的值N,此时立即反馈回一个信号将输出置回稳态,同时计数器清零,等待下一个触发脉冲。

Td

由以上两种情况的讨论,可以看出在具体实现时其实我们只要遵守这样一个原则,即:检测到触发脉冲后,就将输出置为暂稳态,同时对计数器清零并重新开始计数,若计数器溢出则输出置回稳态,并将计数器清零。

4 用Verilog HDL实现的具体方法

本设计中,采用的是自上而下(Top-Down)的设计方法。Verilog HDL设计的程序是并发程序,所以在设计中要把顺序执行的思想转变为并发设计的思想,另外FPGA的内部逻辑采用的也是并发机制。

接下来我们直接来看重触发是如何实现的。本设计方法采用了两个计数器使能标志flag1和flag2,这两个标志用来对计数器和输出进行控制,我们让flag1=~flag2,这样就相当于每次重触发时重新启动了一个不同的计数器使能标志,从而实现可重触发的功能。本次设计的思路用一个流程图表示,如图3所示。

为了对图3中使用到三路并行信号的必要性进行说明,我们把触发脉冲tr分成了奇数个和偶数个两种情况来讨论。首先,初始化Q1=0,Q2=1,Q3=1。之前提到过FPGA内部逻辑的并发机制,因此在图3中以下进程是并发的:产生计数器使能标志flag1=~flag2的进程,产生Q1波形的进程,产生Q2波形的进程,产生Q3波形的进程。

当tr脉冲个数为奇数个时,如图4所示,在系统时钟的上升沿去判断flag1是否为‘1’。若flag1为‘1’,则Q1置‘1’,并启动计数器计数。当计数器满时,Q1变为‘0’,下一个系统时钟上升沿到来后,Q1又会被置‘1’,所以会出现如图4中Q1的波形,这时候我们再设置一个Q3信号,这个信号只在以flag1为使能信号的计数器满时回到稳态,如图4中的Q3波形所示;若flag1为‘0’,则对计数器清零,这时的flag2为‘1’,因此以flag2为使能信号的计数器会启动计数,Q2已初始化为‘1’,所以此时并没有置Q2为‘1’的操作。同样该计数器满时,Q2重新回到‘0’。最后再把Q1,Q2和Q3做与运算得到最终的输出Q=Q1&Q2&Q3。

当tr脉冲个数为偶数个时,实现的方法与tr脉冲为奇数个的情况完全相同。只是在两个使能标志flag1,flag2以及Q1和Q2的时序上有些差别,从图5中可以看出。最终的输出也是Q=Q1&Q2&Q3。

因此,我们在实际编写代码进行设计时并不需要将两种情况分开写,只要按照其中的一种情况进行编写,那么它自然而然地也适用于另一种情况。

5 功能仿真结果

图6中所示的情况是两个触发脉冲之间的间隔Td大于计数器计数的时间Tc,我们可以看到:输出Q在触发脉冲到来时进行触发,进入暂稳态,在下一个触发脉冲到达前,计数器已满,因此Q又会回到稳态,等待下一个触发脉冲。

图7中所示的情况是两个触发脉冲之间的间隔Td小于计数器计数的时间Tc,我们可以看到它与图5所不同的是Q被触发以后,在下一个脉冲到达前,计数器未满,因此Q在遇到下一个脉冲时会进行重触发。最后一个脉冲触发以后,计数器可以一直计数到满,因此Q又会回到稳态。

6 在FPGA芯片上运行结果

这里我们选择Altera公司的FPGA芯片EP2C8Q208C8N,用Quartus II 9.0进行编译和综合,将最终生成的.sof文件下载到硬件板上运行的结果如图8所示,该结果与功能仿真的结果相同,验证了该设计的可行性。

7 总结

本设计中输出的脉宽可以根据实际需要进行任意调整,可实现重触发,另外本设计充分利用了Verilog HDL高级语言的特点,采用行为描述的方式,使人较容易理解,在一些较复杂的设计中,可以将本设计作为一个模块嵌入到自己的设计中。

参考文献:

[1] 任孟阳,李景华.用VHDL语言实现的单稳态电路[J].2002中国控制与丧策学术年会论文集.

计数器电路范文第7篇

摘要:该文介绍了一种智能式检测系统,该系统电子电路为基础,采用集成电路,可实现以下功能:当有人进出时,先有发射电路发射信号,接收电路接收信号,进出鉴别电路判别人是进还是出,由计数器进行加减计数。当有人进入时,该系统的计数器能自动进行加计数;当有人走出时,计数器能自动进行减计数,最后通过BCD-锁存/7段译码器/驱动器驱动LED显示,由此我们可以通过显示器看到示数。

关键词:计数器;集成电路;仿真

中图分类号:TP311文献标识码:A文章编号:1009-3044(2009)28-8071-03

Design Based on Detection System of IC and Realizing

WANG Feng-shuo1,WANG Feng-yan2

(1.Southwestern Communications University's Information Science and Technological Institute Computer Application Technology Speciality, Chengdu 610031, China;2.Henan Polytechnic Institute,Nanyang 473009,China)

Abstract: This text has introduced a kind of intellectual detection system, based on this systematic electronic circuit, adopt the integrated circuit, can realize the following functions: When someone passes in and out, transmit the circuit and transmit the signal first, receive the circuit and receive the signal, pass in and out and distinguish it is entered that the circuit differentiates people or offers, added or subtracted by the counter and counted. When someone enters, the counter of this system can go on with counting automatically; When someone goes out of, can go on automatically if reducing, count counter,it - not latch through BCD finally /decoder / driver of 7 sections urge LED not to be revealed, therefore we can see that show counting through the display.

Key words: counter; integrated circuit; emulation

智能检测系统是许多场合都要用到的一项常规性项目。目前,在商场或图书馆中,安装的检测系统只能计算“+” ,即当有人通过时,无论是进还是出都会“+” ,或者是当人进时“+” ,出去时不会“-” ,这两种方案都不能确切知道人数,工作人员也就无法合理的安排工作,该文介绍了一种智能式人数检测系统。整个系统包括发射电路、接收电路、进出鉴别电路、计数/译码电路和显示电路。这种系统,为工作人员合理安排工作提供了很大方便。

1 电路组成

该系统的设计主要是以电子电路为基础,利用电子线路的相关软件进行模拟仿真。该系统的电路设计主要采用集成电路,当代是一个电子技术迅速发展的时代,集成电路是当今世界上发展最快的高新技术之一,作为信息技术的基础,它推动着计算机、通信、消费电子等设备和系统的不断更新换代,催化着人类信息社会化的发展,该系统所用的集成电路:CW7812、555电路、LM324、CC4518、CC40192、CC14543、CC14560。整个系统包括五大部分:发射电路、接收电路、进出鉴别电路、计数/译码电路和显示电路。如图1所示。

该系统体积小,功能强,适用范围广,抗干扰能力强。

2 工作原理

2.1 电源

该电路由一个桥式整流电路、CW7812三脚稳压管、一个线圈、三个电容和一个电阻组成。电源变压器:将电网提供的单相交流电一般为有效值220V(或三相为380V)的电压进行降压。整流:利用具有单向导电性能的整流元件,将正负交替的正弦交流电压整流成为单方向的脉动电压。滤波:滤波器由电容储能元件组成,将单向脉动电压的脉动成分滤除,使输出直流电压成为比较平滑的直流电压。稳压电路:使输出直流电压在电网电压或负载电流发生变化时保持稳定。稳压管CW7812:具有1.5V输出能力,内部含限流保护、热保护、过压保护电路,可以稳压。

2.2 信号发射电路

集成电路555定时器是一种能够产生时间延迟和多种脉冲信号的控制电路,

由晶体管VT,两个比较器及基本RS触发器等部件组成。其中VT起开关控制作用,一个为反向比较器。一个为同向比较器,比较器的基准电压由电源电压+UDD及内部电阻R1,R2,R3的分压比决定。RS触发器具有复位控制功能,可控制VT的导通与截止。

当接通电源,电源经外接电阻R1,R2向电容C充电,当C上的电压UC上升到2/3 UDD时,反相比较器翻转输出低电平,R=0,RS触发器复位,输出端UO=0,则三极管端VT导通,C经R2和VT放电,当UC下降到1/3UDD时,同相比较器翻转输出低电平,即S=0,RS触发器置位,输出端变为高电平,UO=1,VT又截止,C又开始充电,如此周而复始,输出端便可获得周期性的矩形脉冲波,电容C的放电时间t1与充电时间t2分别为:

t1=R2*C*ln2=0.7R2*C(1)

t2=(R1+R2)*C*ln2=0.7(R1+R2)C(2)

由(1)(2)可得输出脉冲波的频率为: f=1/(t1+t2)=1.43/(R1+2R2)*C

2.3 信号接收电路

该电路主要由一个发光二极管和运算放大器LM324集成块组成,当Vi+脚输入高电平,Vi-脚输入低电平,Vo脚输出高电平。当Vi+脚输入低电平,Vi-脚输入高电平,3脚输出低电平。该电路的功能是接收发光二极管所发出的信号将其转变成电路所能识别的信号,用以判断是否有人进出图书馆。主要利用的是LM324集成电路,它的内部包含四组形式完全相同的运算放大器,除电源共用外,四组运算放大器相互独立。

2.4 双十进制计数器

CC4518为双BCD同步十进制加计数器,同步计数器的各计数单元的状态可以同时翻转,不存在计数单元传输延迟时间累积的问题。计数器的最高工作频率只受一级计数单元及有关进位延时的限制,因而工作频率比异步计数器要高,此外,同步计数器中各输出级间的输出延迟的差异可减至最小,因而译码后产生的过度脉冲尖峰就更窄,其宽度将小于计数单元最大传输延迟时间与最小传输延迟时间之差。同步计数器的特点是内部电路复杂,需要的元件数较多,中规模的CMOS计数器力求提高工作效率。因此计数单元都采用D型触发器或D型触发器构成的T型触发器。同时采用快速进位方式。此外,同步计数器还需解决一个扩展问题。不能因计数器级数增多而影响计数频率。

2.5 同步加/减计数电路

采用双时钟的逻辑结构,加计数和减计数具有各自的时钟通道,计数方向由时钟脉冲进入的通道来决定。计数单元是由与非门组成的T型触发器,由脉冲的下降沿来触发。而对整个计数器电路来说,则是在CPU或CPD的上升沿触发翻转的。它采用8421编码,进位输出QCO和借位输出QBO与时钟脉冲同步,其输出脉冲宽度与CP的低电平宽度相同,清零与置数功能与时钟CP是不同步的。

作加计数时,CPD端为高电平,时钟脉冲由CPU端输入,在上升沿的作用下,计数器作增量计数;作件计数时,CPU端为高电平,时钟脉冲由CPD端输入,在上升沿的作用下,计数器作减计数。预置数时,只要在预置控制端PE和CR端加一低电平或负脉冲,即可将接在D1~D4上的预置数传送到各计数单元的输出端Q1~Q4,然后PE打恢复成高电平时,计数器即可在预置数基础上作加1或减1计数。清零时,只要在Cr加上高电平或正脉冲,则各输出端Q1~Q4全部为“0”电位。本电路还设有“进位输出端”QCO和“借位输出端”QBO,当加计数达到最大值(1001),且加计数时钟输入是低电平时,QCO输出一负脉冲;当减计数达到零(0000),且减计数时钟输入是低电平时,QBO输出一负脉冲。

2.6 加法电路

CC14560是十进制全加器,十进制全加器的输入和输出都是BCD码。BCD码是每4位二进制组成一位十进制数。BCD码做加法运算时,在4位一组的组间进位上与二进制不同。BCD码是逢10进位,4位二进制码是逢16进位,数值上的差别是6,所以BCD码在作法运算时,和数大于9(1001)就要作6修正,才能得到正确的BCD码的和数。如:

由以上看到,BCD码做加法是分两步来完成:第一步按二进制数作加法;第二步对二进制进行判断,如果和数大于9时,就要再加上修正数6(0110);如果和数小于或等于9时,就不必加修正数。在进行多位BCD码加法时,还要考虑十进制数间的进位问题。基于上述原理,可以得到如图4所示的BCD全加器图。图中的第一个4位二进制全加器用来对输入的两个BCD码做二进制加法运算。如果运算的和数大于9。则第一个全加器的进位输出端就产生进位信号,控制由图中间的门电路组成的加6修正电路参加第二个4位二进制全加器的运算。这时候第二个全加器的两组输入,一组是修正数6或0,另一组就是第一个全加器的运算结果,这样第二个全加器的输出就是经过加6修正后的BCD码。功能表如表1示。

2.7 BCD-锁存/7段译码/驱动器CC14543

CC14543为BCD-锁存/7段译码/驱动器,为避免在计数过程中显示器数字翻动现象,在计数和译码单元之间设置锁存单元是有效的方法。该显示译码器以传输门和反相器作锁存单元,控制传输门的导通或截止状态,就可使BCD数据传输或锁存。通常用以驱动LED或荧光数码管。CC14543驱动LED时,DFI端接固定的电平:与共阴极LED连接,DFI接Vss;与共阳极LED连接,DFI接VDD 。译码器的锁存电路由传输门和反相器组成,传输门的导通或截止由控制端LE的电平状态决定。当LE为“0”电平时,TG1导通,TG2截止,数据A传输到输出端N,M:N=A,M=A,当LE为“1”电平时,TG1截止,TG2导通,数据A不能传输到输出端。但由于TG2的导通,TG1原先的输出状态传输至M端,使M端电平与N端电平不变并保持相反,达到锁存的作用。CC14543是多级译码方式,BI为消隐功能端,该端施加某一电平后,迫使笔段输出为低电平,字形消隐。

2.8 显示部分

显示部分采用发光二极管(LED),能将电信号转换成光信号的结型电路发光器件,它在低电压,小电流条件下驱动发光,能与CMOS、TTL电路兼容,发光响应时间短(小于0.1us)、高频特性好、单色性好、亮度高、寿命长且成本低,在数字系统中得到广泛的应用。

3 原理总述

接通12V的电源,当没有人通过时,两个发光二极管均能接收到信号,处于导通,即运算放大器LM324的同向输入管脚3,5为12V(近似12V,略小于12V),同向输入端的电平大于异向输入端的电平,输出高电平“1”,即A,B的输出电压为12V(近似12V),也就是说C,D的同向输入端为12V(近似),C,D的异向输入端都为1V,对运算放大器D来说,同向输入端为12V,异向输入端1V,则输出一定是高电平,即管脚14为“1”,对运算放大器C来说,同向输入端为12V,而异向输入端1V,则输出一定是高电平,即管脚8为“1”。

两个发光二极管的间距非常近(两个发光二极管的间距1mm),当人开始进的时候,经过发光二极管A,发光二极管A首先被挡住,输出低电平,当人走过发光二极管A挡住发光二极管B时,发光二极管A输出高电平,B输出低电平。这一电平接到CC4518的CLK端,根据CC4518的工作原理:上升沿触发,所以计数器U12A开始计数,判别人是进的,U12B的R端接14脚,14脚输出高电平,因此U12B复位。

当走出的时候,先经过发光二极管B,B首先被挡住,输出低电平,当人走过发光二极管B挡住A时,B输出高电平,A输出低电平,UC的8脚接在U12B的CLK端,由于CC4518上升沿触发,U12B开始计数,判别出人是出的,U12A的R端接8脚,8脚输出高电平,因此U12A复位。

同时还应该注意到另外一种情况,当人运动到发光二极管处,将二只发光二极管全部挡住后,不再向前运动而是中途返回。

智能检测系统有两个通道,所以计数器U12A、U12B、U12C、U12D、分别接通道一、通道二的A、B、C、D端,即CC40192的通道一、通道二的个位计数的CPU,CPD端,根据CC40192的工作原理:CPU端为加计数端,CPD端为减计数端。当人进的时候,CC4518的U12A计数器输出一高电平,这一高电平接CPU端,所以是加计数;CC4518和CC40192的波形图如图4所示。

当人出去时,CC4518的U12B输出高电平,这一端接CPD,所以减计数。CC40192还有进位和借位,通道一的个位计数的进位借位接在通道一十位计数的进位借位上,通道一的十位计数的进位借位接在通道一的百位计数的进位借位上。通道一、通道二的个、十、百位分别通过加法器CC14560相加,使通道一、通道二都可以同时用来进出。加法器的输出又经BCD-锁存/7段译码/驱动器CC14543进行锁存、译码、驱动LED来显示,由此我们可以通过显示器看到示数。

4 小结

该文利用集成元器件触发器、计数器、译码器、显示器等的结合,实现了光电发射电路,接收电路,计数译码显示电路装置的功能,从而达到本文的设计的目的。

参考文献:

[1] 张洪润.电子线路及应用[M].北京:科学出版社出版发行,2003.

[2] 许泽鹏.电子技术[M].北京:邮电出版社出版发行,2004.

[3] 江晓安.数字电子技术[M].西安:西安电子科技大学出版社,2002.

计数器电路范文第8篇

关键词:电子测量与仪器;模块化设计;计数器

中图分类号:G642.0 文献标志码:A 文章编号:1674-9324(2016)01-0260-02

一、引言

电子测量与仪器课程是测控相关专业的重要课程,主要运用电子科学的原理、方法和设备对各种电量及电路元件的特性和参数进行测量[1]。使学生掌握电子测量的基本概念,测量误差理论及数据处理方法,掌握基本电参量的测量原理、方案设计及结果分析方法。

该课程所包含的实验要求学生熟悉常用仪器仪表(电压表、示波器、计数器、信号源等)的使用[2],掌握仪器仪表工作原理,学会利用现有设备采取正确的测试方案进行一些简单的测量,能根据所学知识综合设计简单的测量电路。

二、实验教学过程中的不足

以计数器实验为例,计数器实验要求了解和掌握通用计数器的组成及工作原理和操作方法,掌握频率比测量方法和测量误差处理方法。在以往的实验过程中,直接使用通用计数器进行相关参数的测量。虽然在实验之前会向学生讲解相关测量原理,但学生往往只记住了通用计数器的操作方法,即如何测量频率、周期和频率比,而对于通用计数器的内部工作原理则没有结合理论课上的内容进行深究。这样,实验效果大打折扣,学生的认识还只是停留在表面,没有自觉的深入探讨工作原理,理论与实际没能结合到一起。

三、改进思路

针对这个问题,可以将实验改成设计性实验。设计性实验教学对学生技能的提高,思考方法的训练,知识的综合运用起了很好的作用[3]。如果让学生自己设计制作一个简易的通用计数器,当然会有一定的改进效果。但又有可能让学生的注意力转移到了调试细节上,而又重新忽视了测量原理。因此,在细节的划分上要有一个折中,模块化设计是一个解决方案。我们重新设计了实验项目,制作了实验板,将通用计数器内部的主要模块分离出来,体现在实验板上。

计数器测量信号频率的原理框图如图1所示,其中,fA为被测信号,经过放大整形后变成标准的方波。门控电路产生门控信号,控制闸门的开启和关闭。闸门可以用逻辑门电路中的与门来实现,门控信号为低电平时,与门关闭,方波信号不能通过与门;门控信号为高电平时与门打开,方波信号能通过,此时要求门控信号的频率要小于方波信号。门控信号的高电平持续时间为闸门时间。闸门时间内通过的方波送入计数器进行计数,并将计数结果显示出来。计数结果N再除以已知的闸门时间即得到fA的频率。

将图1所示的原理框图稍作修改就可以用来测量信号周期。将fA整形后的方波看成门控信号,而将原来的门控信号频率提高并看成被测信号fg,此时fg的频率要高于fA且fg的周期已知。这样,在fA的方波高电平持续期间,fg信号可以通过闸门,计数器对fg进行计数。计数结果N再乘以fg的周期tg即得到高电平持续的时间,若高低电平时间相等,那么fA的周期应为N*tg*2。

计数器测量两个信号频率比的原理框图如图2所示,fA与fB为不同频率的两个输入信号,假设fA的频率大于fB的频率。fA经过放大整形后直接送到闸门的输入端,而fB经过放大整形后的信号作为闸门信号输入。此时,在fB高电平持续期间,fA整形后的方波能通过闸门进入计数器进行计数,计数的结果是fB的半个周期内(假设fB的正负半周期相等)通过的fA的脉冲数,因而频率比为计数结果N*2。

根据以上的分析,测量电路中的关键模块为:放大整形电路、闸门、门控电路、计数器及显示器。因此,设计图3所示的电路模块。其中,计数器及门控电路由单片机构成,可以通过键盘设置标准闸门信号的时间长短。将这几个模块使用PCB电路板实现,要求学生根据测量原理在这几个模块之间进行连线,搭建正确的电路对信号的频率、周期以及频率比进行测量。并写出计算表达式。期间,要求学生使用示波器对连线的各个结点的波形进行测量记录,进一步领会相应的测量原理。这样,学生面对的是这几个抽取出来的主要模块,对通用计数器内部结构会有一个更清晰的认识,能够将注意力放在测量原理上。

四、结论

该实验板在我院2012级测控专业与自动化专业中进行了使用,取得了较好的实验效果。主要体现在以下几个方面:

1.将通用计数器内部结构通过若干主要模块体现出来,屏蔽了一定细节,减少了学生的重复劳动,有助于学生将注意力集中到理解测频原理上来;

2.同样的几个模块,连接方法不同,实现的测量目标(测频、测周、测频率比)也不同,有助于学生灵活运用所学知识,提高动手能力;

3.通过使用示波器测量各个连接点的波形,有助于学生理论联系实际,提高电路调试能力。

参考文献:

[1]陈尚松,郭庆.电子测量与仪器(第二版)[M].北京:电子工业出版社,2009.